]> sigrok.org Git - libsigrok.git/blame - hardware/asix-sigma/asix-sigma.c
asix-sigma: Use new trigger API.
[libsigrok.git] / hardware / asix-sigma / asix-sigma.c
CommitLineData
28a35d8a 1/*
50985c20 2 * This file is part of the libsigrok project.
28a35d8a 3 *
868501fa 4 * Copyright (C) 2010-2012 Håvard Espeland <gus@ping.uio.no>,
911f1834
UH
5 * Copyright (C) 2010 Martin Stensgård <mastensg@ping.uio.no>
6 * Copyright (C) 2010 Carl Henrik Lunde <chlunde@ping.uio.no>
28a35d8a
HE
7 *
8 * This program is free software: you can redistribute it and/or modify
9 * it under the terms of the GNU General Public License as published by
10 * the Free Software Foundation, either version 3 of the License, or
11 * (at your option) any later version.
12 *
13 * This program is distributed in the hope that it will be useful,
14 * but WITHOUT ANY WARRANTY; without even the implied warranty of
15 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16 * GNU General Public License for more details.
17 *
18 * You should have received a copy of the GNU General Public License
19 * along with this program. If not, see <http://www.gnu.org/licenses/>.
20 */
21
911f1834 22/*
6352d030 23 * ASIX SIGMA/SIGMA2 logic analyzer driver
911f1834
UH
24 */
25
3bbd9849
UH
26#include <glib.h>
27#include <glib/gstdio.h>
28a35d8a
HE
28#include <ftdi.h>
29#include <string.h>
45c59c8b
BV
30#include "libsigrok.h"
31#include "libsigrok-internal.h"
28a35d8a
HE
32#include "asix-sigma.h"
33
34#define USB_VENDOR 0xa600
35#define USB_PRODUCT 0xa000
36#define USB_DESCRIPTION "ASIX SIGMA"
37#define USB_VENDOR_NAME "ASIX"
38#define USB_MODEL_NAME "SIGMA"
28a35d8a 39
ed300b9f 40SR_PRIV struct sr_dev_driver asix_sigma_driver_info;
a873c594 41static struct sr_dev_driver *di = &asix_sigma_driver_info;
6078d2c9 42static int dev_acquisition_stop(struct sr_dev_inst *sdi, void *cb_data);
28a35d8a 43
b1648dea
MV
44/*
45 * The ASIX Sigma supports arbitrary integer frequency divider in
46 * the 50MHz mode. The divider is in range 1...256 , allowing for
47 * very precise sampling rate selection. This driver supports only
48 * a subset of the sampling rates.
49 */
2c9c0df8 50static const uint64_t samplerates[] = {
b1648dea
MV
51 SR_KHZ(200), /* div=250 */
52 SR_KHZ(250), /* div=200 */
53 SR_KHZ(500), /* div=100 */
54 SR_MHZ(1), /* div=50 */
55 SR_MHZ(5), /* div=10 */
56 SR_MHZ(10), /* div=5 */
57 SR_MHZ(25), /* div=2 */
58 SR_MHZ(50), /* div=1 */
59 SR_MHZ(100), /* Special FW needed */
60 SR_MHZ(200), /* Special FW needed */
28a35d8a
HE
61};
62
d261dbbf 63/*
ba7dd8bb 64 * Channel numbers seem to go from 1-16, according to this image:
d261dbbf
UH
65 * http://tools.asix.net/img/sigma_sigmacab_pins_720.jpg
66 * (the cable has two additional GND pins, and a TI and TO pin)
67 */
790c7ccc 68static const char *channel_names[] = {
78693401
UH
69 "1", "2", "3", "4", "5", "6", "7", "8",
70 "9", "10", "11", "12", "13", "14", "15", "16",
464d12c7
KS
71};
72
2c9c0df8 73static const int32_t hwcaps[] = {
1953564a
BV
74 SR_CONF_LOGIC_ANALYZER,
75 SR_CONF_SAMPLERATE,
39c64c6a 76 SR_CONF_TRIGGER_MATCH,
1953564a 77 SR_CONF_CAPTURE_RATIO,
1953564a 78 SR_CONF_LIMIT_MSEC,
28a35d8a
HE
79};
80
39c64c6a
BV
81static const int32_t trigger_matches[] = {
82 SR_TRIGGER_ZERO,
83 SR_TRIGGER_ONE,
84 SR_TRIGGER_RISING,
85 SR_TRIGGER_FALLING,
86};
87
499b17e9
MV
88static const char *sigma_firmware_files[] = {
89 /* 50 MHz, supports 8 bit fractions */
90 FIRMWARE_DIR "/asix-sigma-50.fw",
91 /* 100 MHz */
92 FIRMWARE_DIR "/asix-sigma-100.fw",
93 /* 200 MHz */
94 FIRMWARE_DIR "/asix-sigma-200.fw",
95 /* Synchronous clock from pin */
96 FIRMWARE_DIR "/asix-sigma-50sync.fw",
97 /* Frequency counter */
98 FIRMWARE_DIR "/asix-sigma-phasor.fw",
f6564c8d
HE
99};
100
0e1357e8 101static int sigma_read(void *buf, size_t size, struct dev_context *devc)
28a35d8a
HE
102{
103 int ret;
fefa1800 104
0e1357e8 105 ret = ftdi_read_data(&devc->ftdic, (unsigned char *)buf, size);
28a35d8a 106 if (ret < 0) {
47f4f073 107 sr_err("ftdi_read_data failed: %s",
0e1357e8 108 ftdi_get_error_string(&devc->ftdic));
28a35d8a
HE
109 }
110
111 return ret;
112}
113
0e1357e8 114static int sigma_write(void *buf, size_t size, struct dev_context *devc)
28a35d8a
HE
115{
116 int ret;
fefa1800 117
0e1357e8 118 ret = ftdi_write_data(&devc->ftdic, (unsigned char *)buf, size);
28a35d8a 119 if (ret < 0) {
47f4f073 120 sr_err("ftdi_write_data failed: %s",
0e1357e8 121 ftdi_get_error_string(&devc->ftdic));
fefa1800 122 } else if ((size_t) ret != size) {
47f4f073 123 sr_err("ftdi_write_data did not complete write.");
28a35d8a
HE
124 }
125
126 return ret;
127}
128
99965709 129static int sigma_write_register(uint8_t reg, uint8_t *data, size_t len,
0e1357e8 130 struct dev_context *devc)
28a35d8a
HE
131{
132 size_t i;
133 uint8_t buf[len + 2];
134 int idx = 0;
135
136 buf[idx++] = REG_ADDR_LOW | (reg & 0xf);
137 buf[idx++] = REG_ADDR_HIGH | (reg >> 4);
138
fefa1800 139 for (i = 0; i < len; ++i) {
28a35d8a
HE
140 buf[idx++] = REG_DATA_LOW | (data[i] & 0xf);
141 buf[idx++] = REG_DATA_HIGH_WRITE | (data[i] >> 4);
142 }
143
0e1357e8 144 return sigma_write(buf, idx, devc);
28a35d8a
HE
145}
146
0e1357e8 147static int sigma_set_register(uint8_t reg, uint8_t value, struct dev_context *devc)
28a35d8a 148{
0e1357e8 149 return sigma_write_register(reg, &value, 1, devc);
28a35d8a
HE
150}
151
99965709 152static int sigma_read_register(uint8_t reg, uint8_t *data, size_t len,
0e1357e8 153 struct dev_context *devc)
28a35d8a
HE
154{
155 uint8_t buf[3];
fefa1800 156
28a35d8a
HE
157 buf[0] = REG_ADDR_LOW | (reg & 0xf);
158 buf[1] = REG_ADDR_HIGH | (reg >> 4);
28a35d8a
HE
159 buf[2] = REG_READ_ADDR;
160
0e1357e8 161 sigma_write(buf, sizeof(buf), devc);
28a35d8a 162
0e1357e8 163 return sigma_read(data, len, devc);
28a35d8a
HE
164}
165
0e1357e8 166static uint8_t sigma_get_register(uint8_t reg, struct dev_context *devc)
28a35d8a
HE
167{
168 uint8_t value;
fefa1800 169
0e1357e8 170 if (1 != sigma_read_register(reg, &value, 1, devc)) {
47f4f073 171 sr_err("sigma_get_register: 1 byte expected");
28a35d8a
HE
172 return 0;
173 }
174
175 return value;
176}
177
99965709 178static int sigma_read_pos(uint32_t *stoppos, uint32_t *triggerpos,
0e1357e8 179 struct dev_context *devc)
28a35d8a
HE
180{
181 uint8_t buf[] = {
182 REG_ADDR_LOW | READ_TRIGGER_POS_LOW,
183
184 REG_READ_ADDR | NEXT_REG,
185 REG_READ_ADDR | NEXT_REG,
186 REG_READ_ADDR | NEXT_REG,
187 REG_READ_ADDR | NEXT_REG,
188 REG_READ_ADDR | NEXT_REG,
189 REG_READ_ADDR | NEXT_REG,
190 };
28a35d8a
HE
191 uint8_t result[6];
192
0e1357e8 193 sigma_write(buf, sizeof(buf), devc);
28a35d8a 194
0e1357e8 195 sigma_read(result, sizeof(result), devc);
28a35d8a
HE
196
197 *triggerpos = result[0] | (result[1] << 8) | (result[2] << 16);
198 *stoppos = result[3] | (result[4] << 8) | (result[5] << 16);
199
57bbf56b
HE
200 /* Not really sure why this must be done, but according to spec. */
201 if ((--*stoppos & 0x1ff) == 0x1ff)
202 stoppos -= 64;
203
204 if ((*--triggerpos & 0x1ff) == 0x1ff)
205 triggerpos -= 64;
206
28a35d8a
HE
207 return 1;
208}
209
99965709 210static int sigma_read_dram(uint16_t startchunk, size_t numchunks,
0e1357e8 211 uint8_t *data, struct dev_context *devc)
28a35d8a
HE
212{
213 size_t i;
214 uint8_t buf[4096];
215 int idx = 0;
216
fefa1800 217 /* Send the startchunk. Index start with 1. */
28a35d8a
HE
218 buf[0] = startchunk >> 8;
219 buf[1] = startchunk & 0xff;
0e1357e8 220 sigma_write_register(WRITE_MEMROW, buf, 2, devc);
28a35d8a 221
fefa1800 222 /* Read the DRAM. */
28a35d8a
HE
223 buf[idx++] = REG_DRAM_BLOCK;
224 buf[idx++] = REG_DRAM_WAIT_ACK;
225
226 for (i = 0; i < numchunks; ++i) {
fefa1800
UH
227 /* Alternate bit to copy from DRAM to cache. */
228 if (i != (numchunks - 1))
229 buf[idx++] = REG_DRAM_BLOCK | (((i + 1) % 2) << 4);
28a35d8a
HE
230
231 buf[idx++] = REG_DRAM_BLOCK_DATA | ((i % 2) << 4);
232
fefa1800 233 if (i != (numchunks - 1))
28a35d8a
HE
234 buf[idx++] = REG_DRAM_WAIT_ACK;
235 }
236
0e1357e8 237 sigma_write(buf, idx, devc);
28a35d8a 238
0e1357e8 239 return sigma_read(data, numchunks * CHUNK_SIZE, devc);
28a35d8a
HE
240}
241
4ae1f451 242/* Upload trigger look-up tables to Sigma. */
0e1357e8 243static int sigma_write_trigger_lut(struct triggerlut *lut, struct dev_context *devc)
ee492173
HE
244{
245 int i;
246 uint8_t tmp[2];
247 uint16_t bit;
248
249 /* Transpose the table and send to Sigma. */
250 for (i = 0; i < 16; ++i) {
251 bit = 1 << i;
252
253 tmp[0] = tmp[1] = 0;
254
255 if (lut->m2d[0] & bit)
256 tmp[0] |= 0x01;
257 if (lut->m2d[1] & bit)
258 tmp[0] |= 0x02;
259 if (lut->m2d[2] & bit)
260 tmp[0] |= 0x04;
261 if (lut->m2d[3] & bit)
262 tmp[0] |= 0x08;
263
264 if (lut->m3 & bit)
265 tmp[0] |= 0x10;
266 if (lut->m3s & bit)
267 tmp[0] |= 0x20;
268 if (lut->m4 & bit)
269 tmp[0] |= 0x40;
270
271 if (lut->m0d[0] & bit)
272 tmp[1] |= 0x01;
273 if (lut->m0d[1] & bit)
274 tmp[1] |= 0x02;
275 if (lut->m0d[2] & bit)
276 tmp[1] |= 0x04;
277 if (lut->m0d[3] & bit)
278 tmp[1] |= 0x08;
279
280 if (lut->m1d[0] & bit)
281 tmp[1] |= 0x10;
282 if (lut->m1d[1] & bit)
283 tmp[1] |= 0x20;
284 if (lut->m1d[2] & bit)
285 tmp[1] |= 0x40;
286 if (lut->m1d[3] & bit)
287 tmp[1] |= 0x80;
288
99965709 289 sigma_write_register(WRITE_TRIGGER_SELECT0, tmp, sizeof(tmp),
0e1357e8
BV
290 devc);
291 sigma_set_register(WRITE_TRIGGER_SELECT1, 0x30 | i, devc);
ee492173
HE
292 }
293
294 /* Send the parameters */
295 sigma_write_register(WRITE_TRIGGER_SELECT0, (uint8_t *) &lut->params,
0e1357e8 296 sizeof(lut->params), devc);
ee492173 297
e46b8fb1 298 return SR_OK;
ee492173
HE
299}
300
3678cf73 301static void clear_helper(void *priv)
0448d110 302{
0e1357e8 303 struct dev_context *devc;
ce4d26dd 304
3678cf73 305 devc = priv;
0e1357e8 306
3678cf73
UH
307 ftdi_deinit(&devc->ftdic);
308}
0448d110 309
3b412e3a 310static int dev_clear(void)
3678cf73
UH
311{
312 return std_dev_clear(di, clear_helper);
0448d110
BV
313}
314
6078d2c9 315static int init(struct sr_context *sr_ctx)
61136ea6 316{
f6beaac5 317 return std_init(sr_ctx, di, LOG_PREFIX);
61136ea6
BV
318}
319
6078d2c9 320static GSList *scan(GSList *options)
28a35d8a 321{
d68e2d1a 322 struct sr_dev_inst *sdi;
ba7dd8bb 323 struct sr_channel *ch;
0e1357e8
BV
324 struct drv_context *drvc;
325 struct dev_context *devc;
0448d110 326 GSList *devices;
e3fff420
HE
327 struct ftdi_device_list *devlist;
328 char serial_txt[10];
329 uint32_t serial;
790c7ccc
MV
330 int ret;
331 unsigned int i;
28a35d8a 332
0448d110 333 (void)options;
64d33dc2 334
a873c594 335 drvc = di->priv;
4b97c74e 336
0448d110 337 devices = NULL;
4b97c74e 338
0e1357e8 339 if (!(devc = g_try_malloc(sizeof(struct dev_context)))) {
47f4f073 340 sr_err("%s: devc malloc failed", __func__);
0448d110 341 return NULL;
b53738ba 342 }
99965709 343
0e1357e8 344 ftdi_init(&devc->ftdic);
28a35d8a 345
fefa1800 346 /* Look for SIGMAs. */
e3fff420 347
0e1357e8 348 if ((ret = ftdi_usb_find_all(&devc->ftdic, &devlist,
eec944c5
BV
349 USB_VENDOR, USB_PRODUCT)) <= 0) {
350 if (ret < 0)
351 sr_err("ftdi_usb_find_all(): %d", ret);
99965709 352 goto free;
eec944c5 353 }
99965709 354
e3fff420 355 /* Make sure it's a version 1 or 2 SIGMA. */
0e1357e8 356 ftdi_usb_get_strings(&devc->ftdic, devlist->dev, NULL, 0, NULL, 0,
6352d030 357 serial_txt, sizeof(serial_txt));
e3fff420
HE
358 sscanf(serial_txt, "%x", &serial);
359
6352d030 360 if (serial < 0xa6010000 || serial > 0xa602ffff) {
47f4f073
UH
361 sr_err("Only SIGMA and SIGMA2 are supported "
362 "in this version of libsigrok.");
e3fff420
HE
363 goto free;
364 }
365
366 sr_info("Found ASIX SIGMA - Serial: %s", serial_txt);
367
23b886bc 368 devc->cur_samplerate = samplerates[0];
0e1357e8
BV
369 devc->period_ps = 0;
370 devc->limit_msec = 0;
371 devc->cur_firmware = -1;
ba7dd8bb 372 devc->num_channels = 0;
0e1357e8
BV
373 devc->samples_per_event = 0;
374 devc->capture_ratio = 50;
375 devc->use_triggers = 0;
28a35d8a 376
fefa1800 377 /* Register SIGMA device. */
d68e2d1a 378 if (!(sdi = sr_dev_inst_new(0, SR_ST_INITIALIZING, USB_VENDOR_NAME,
55f98c65 379 USB_MODEL_NAME, NULL))) {
47f4f073 380 sr_err("%s: sdi was NULL", __func__);
99965709 381 goto free;
d68e2d1a 382 }
a873c594 383 sdi->driver = di;
87ca93c5 384
790c7ccc
MV
385 for (i = 0; i < ARRAY_SIZE(channel_names); i++) {
386 ch = sr_channel_new(i, SR_CHANNEL_LOGIC, TRUE,
387 channel_names[i]);
388 if (!ch)
87ca93c5 389 return NULL;
ba7dd8bb 390 sdi->channels = g_slist_append(sdi->channels, ch);
87ca93c5
BV
391 }
392
0448d110 393 devices = g_slist_append(devices, sdi);
0e1357e8
BV
394 drvc->instances = g_slist_append(drvc->instances, sdi);
395 sdi->priv = devc;
28a35d8a 396
fefa1800 397 /* We will open the device again when we need it. */
e3fff420 398 ftdi_list_free(&devlist);
28a35d8a 399
0448d110 400 return devices;
ea9cfed7 401
99965709 402free:
0e1357e8
BV
403 ftdi_deinit(&devc->ftdic);
404 g_free(devc);
0448d110 405 return NULL;
28a35d8a
HE
406}
407
6078d2c9 408static GSList *dev_list(void)
811deee4 409{
0e94d524 410 return ((struct drv_context *)(di->priv))->instances;
811deee4
BV
411}
412
d5fa188a
MV
413/*
414 * Configure the FPGA for bitbang mode.
415 * This sequence is documented in section 2. of the ASIX Sigma programming
416 * manual. This sequence is necessary to configure the FPGA in the Sigma
417 * into Bitbang mode, in which it can be programmed with the firmware.
418 */
419static int sigma_fpga_init_bitbang(struct dev_context *devc)
420{
421 uint8_t suicide[] = {
422 0x84, 0x84, 0x88, 0x84, 0x88, 0x84, 0x88, 0x84,
423 };
424 uint8_t init_array[] = {
425 0x01, 0x03, 0x03, 0x01, 0x01, 0x01, 0x01, 0x01,
426 0x01, 0x01,
427 };
428 int i, ret, timeout = 10000;
429 uint8_t data;
430
431 /* Section 2. part 1), do the FPGA suicide. */
432 sigma_write(suicide, sizeof(suicide), devc);
433 sigma_write(suicide, sizeof(suicide), devc);
434 sigma_write(suicide, sizeof(suicide), devc);
435 sigma_write(suicide, sizeof(suicide), devc);
436
437 /* Section 2. part 2), do pulse on D1. */
438 sigma_write(init_array, sizeof(init_array), devc);
439 ftdi_usb_purge_buffers(&devc->ftdic);
440
441 /* Wait until the FPGA asserts D6/INIT_B. */
442 for (i = 0; i < timeout; i++) {
443 ret = sigma_read(&data, 1, devc);
444 if (ret < 0)
445 return ret;
446 /* Test if pin D6 got asserted. */
447 if (data & (1 << 5))
448 return 0;
449 /* The D6 was not asserted yet, wait a bit. */
450 usleep(10000);
451 }
452
453 return SR_ERR_TIMEOUT;
454}
455
64fe661b
MV
456/*
457 * Configure the FPGA for logic-analyzer mode.
458 */
459static int sigma_fpga_init_la(struct dev_context *devc)
460{
461 /* Initialize the logic analyzer mode. */
462 uint8_t logic_mode_start[] = {
011f1091
MV
463 REG_ADDR_LOW | (READ_ID & 0xf),
464 REG_ADDR_HIGH | (READ_ID >> 8),
465 REG_READ_ADDR, /* Read ID register. */
466
467 REG_ADDR_LOW | (WRITE_TEST & 0xf),
468 REG_DATA_LOW | 0x5,
469 REG_DATA_HIGH_WRITE | 0x5,
470 REG_READ_ADDR, /* Read scratch register. */
471
472 REG_DATA_LOW | 0xa,
473 REG_DATA_HIGH_WRITE | 0xa,
474 REG_READ_ADDR, /* Read scratch register. */
475
476 REG_ADDR_LOW | (WRITE_MODE & 0xf),
477 REG_DATA_LOW | 0x0,
478 REG_DATA_HIGH_WRITE | 0x8,
64fe661b
MV
479 };
480
481 uint8_t result[3];
482 int ret;
483
484 /* Initialize the logic analyzer mode. */
485 sigma_write(logic_mode_start, sizeof(logic_mode_start), devc);
486
011f1091 487 /* Expect a 3 byte reply since we issued three READ requests. */
64fe661b
MV
488 ret = sigma_read(result, 3, devc);
489 if (ret != 3)
490 goto err;
491
492 if (result[0] != 0xa6 || result[1] != 0x55 || result[2] != 0xaa)
493 goto err;
494
495 return SR_OK;
496err:
497 sr_err("Configuration failed. Invalid reply received.");
498 return SR_ERR;
499}
500
a80226bb
MV
501/*
502 * Read the firmware from a file and transform it into a series of bitbang
503 * pulses used to program the FPGA. Note that the *bb_cmd must be free()'d
504 * by the caller of this function.
505 */
506static int sigma_fw_2_bitbang(const char *filename,
507 uint8_t **bb_cmd, gsize *bb_cmd_size)
508{
509 GMappedFile *file;
510 GError *error;
511 gsize i, file_size, bb_size;
512 gchar *firmware;
513 uint8_t *bb_stream, *bbs;
514 uint32_t imm;
515 int bit, v;
516 int ret = SR_OK;
517
518 /*
519 * Map the file and make the mapped buffer writable.
520 * NOTE: Using writable=TRUE does _NOT_ mean that file that is mapped
521 * will be modified. It will not be modified until someone uses
522 * g_file_set_contents() on it.
523 */
524 error = NULL;
525 file = g_mapped_file_new(filename, TRUE, &error);
526 g_assert_no_error(error);
527
528 file_size = g_mapped_file_get_length(file);
529 firmware = g_mapped_file_get_contents(file);
530 g_assert(firmware);
531
532 /* Weird magic transformation below, I have no idea what it does. */
533 imm = 0x3f6df2ab;
534 for (i = 0; i < file_size; i++) {
535 imm = (imm + 0xa853753) % 177 + (imm * 0x8034052);
536 firmware[i] ^= imm & 0xff;
537 }
538
539 /*
540 * Now that the firmware is "transformed", we will transcribe the
541 * firmware blob into a sequence of toggles of the Dx wires. This
542 * sequence will be fed directly into the Sigma, which must be in
543 * the FPGA bitbang programming mode.
544 */
545
546 /* Each bit of firmware is transcribed as two toggles of Dx wires. */
547 bb_size = file_size * 8 * 2;
548 bb_stream = (uint8_t *)g_try_malloc(bb_size);
549 if (!bb_stream) {
550 sr_err("%s: Failed to allocate bitbang stream", __func__);
551 ret = SR_ERR_MALLOC;
552 goto exit;
553 }
554
555 bbs = bb_stream;
556 for (i = 0; i < file_size; i++) {
557 for (bit = 7; bit >= 0; bit--) {
558 v = (firmware[i] & (1 << bit)) ? 0x40 : 0x00;
559 *bbs++ = v | 0x01;
560 *bbs++ = v;
561 }
562 }
563
564 /* The transformation completed successfully, return the result. */
565 *bb_cmd = bb_stream;
566 *bb_cmd_size = bb_size;
567
568exit:
569 g_mapped_file_unref(file);
570 return ret;
571}
572
0e1357e8 573static int upload_firmware(int firmware_idx, struct dev_context *devc)
28a35d8a
HE
574{
575 int ret;
576 unsigned char *buf;
577 unsigned char pins;
578 size_t buf_size;
499b17e9 579 const char *firmware = sigma_firmware_files[firmware_idx];
8bbf7627 580 struct ftdi_context *ftdic = &devc->ftdic;
28a35d8a 581
fefa1800 582 /* Make sure it's an ASIX SIGMA. */
8bbf7627
MV
583 ret = ftdi_usb_open_desc(ftdic, USB_VENDOR, USB_PRODUCT,
584 USB_DESCRIPTION, NULL);
585 if (ret < 0) {
47f4f073 586 sr_err("ftdi_usb_open failed: %s",
8bbf7627 587 ftdi_get_error_string(ftdic));
28a35d8a
HE
588 return 0;
589 }
590
8bbf7627
MV
591 ret = ftdi_set_bitmode(ftdic, 0xdf, BITMODE_BITBANG);
592 if (ret < 0) {
47f4f073 593 sr_err("ftdi_set_bitmode failed: %s",
8bbf7627 594 ftdi_get_error_string(ftdic));
28a35d8a
HE
595 return 0;
596 }
597
fefa1800 598 /* Four times the speed of sigmalogan - Works well. */
8bbf7627
MV
599 ret = ftdi_set_baudrate(ftdic, 750000);
600 if (ret < 0) {
47f4f073 601 sr_err("ftdi_set_baudrate failed: %s",
8bbf7627 602 ftdi_get_error_string(ftdic));
28a35d8a
HE
603 return 0;
604 }
605
d5fa188a
MV
606 /* Initialize the FPGA for firmware upload. */
607 ret = sigma_fpga_init_bitbang(devc);
608 if (ret)
609 return ret;
28a35d8a 610
9ddb2a12 611 /* Prepare firmware. */
d485d443 612 ret = sigma_fw_2_bitbang(firmware, &buf, &buf_size);
8bbf7627 613 if (ret != SR_OK) {
47f4f073 614 sr_err("An error occured while reading the firmware: %s",
499b17e9 615 firmware);
b53738ba 616 return ret;
28a35d8a
HE
617 }
618
fefa1800 619 /* Upload firmare. */
499b17e9 620 sr_info("Uploading firmware file '%s'.", firmware);
0e1357e8 621 sigma_write(buf, buf_size, devc);
28a35d8a
HE
622
623 g_free(buf);
624
8bbf7627
MV
625 ret = ftdi_set_bitmode(ftdic, 0x00, BITMODE_RESET);
626 if (ret < 0) {
47f4f073 627 sr_err("ftdi_set_bitmode failed: %s",
8bbf7627 628 ftdi_get_error_string(ftdic));
e46b8fb1 629 return SR_ERR;
28a35d8a
HE
630 }
631
8bbf7627 632 ftdi_usb_purge_buffers(ftdic);
28a35d8a 633
fefa1800 634 /* Discard garbage. */
29b66a2e 635 while (sigma_read(&pins, 1, devc) == 1)
28a35d8a
HE
636 ;
637
64fe661b
MV
638 /* Initialize the FPGA for logic-analyzer mode. */
639 ret = sigma_fpga_init_la(devc);
640 if (ret != SR_OK)
641 return ret;
28a35d8a 642
0e1357e8 643 devc->cur_firmware = firmware_idx;
f6564c8d 644
47f4f073 645 sr_info("Firmware uploaded.");
e3fff420 646
e46b8fb1 647 return SR_OK;
f6564c8d
HE
648}
649
6078d2c9 650static int dev_open(struct sr_dev_inst *sdi)
f6564c8d 651{
0e1357e8 652 struct dev_context *devc;
f6564c8d
HE
653 int ret;
654
0e1357e8 655 devc = sdi->priv;
99965709 656
9ddb2a12 657 /* Make sure it's an ASIX SIGMA. */
0e1357e8 658 if ((ret = ftdi_usb_open_desc(&devc->ftdic,
f6564c8d
HE
659 USB_VENDOR, USB_PRODUCT, USB_DESCRIPTION, NULL)) < 0) {
660
47f4f073 661 sr_err("ftdi_usb_open failed: %s",
0e1357e8 662 ftdi_get_error_string(&devc->ftdic));
f6564c8d
HE
663
664 return 0;
665 }
28a35d8a 666
5a2326a7 667 sdi->status = SR_ST_ACTIVE;
28a35d8a 668
e46b8fb1 669 return SR_OK;
f6564c8d
HE
670}
671
6f4b1868 672static int set_samplerate(const struct sr_dev_inst *sdi, uint64_t samplerate)
f6564c8d 673{
2c9c0df8
BV
674 struct dev_context *devc;
675 unsigned int i;
676 int ret;
f6564c8d 677
2c9c0df8 678 devc = sdi->priv;
f4abaa9f
UH
679 ret = SR_OK;
680
2c9c0df8
BV
681 for (i = 0; i < ARRAY_SIZE(samplerates); i++) {
682 if (samplerates[i] == samplerate)
f6564c8d
HE
683 break;
684 }
2c9c0df8 685 if (samplerates[i] == 0)
e46b8fb1 686 return SR_ERR_SAMPLERATE;
f6564c8d 687
59df0c77 688 if (samplerate <= SR_MHZ(50)) {
0e1357e8 689 ret = upload_firmware(0, devc);
ba7dd8bb 690 devc->num_channels = 16;
6b2d3385 691 } else if (samplerate == SR_MHZ(100)) {
0e1357e8 692 ret = upload_firmware(1, devc);
ba7dd8bb 693 devc->num_channels = 8;
6b2d3385 694 } else if (samplerate == SR_MHZ(200)) {
0e1357e8 695 ret = upload_firmware(2, devc);
ba7dd8bb 696 devc->num_channels = 4;
f78898e9 697 }
f6564c8d 698
6b2d3385
BV
699 if (ret == SR_OK) {
700 devc->cur_samplerate = samplerate;
701 devc->period_ps = 1000000000000ULL / samplerate;
702 devc->samples_per_event = 16 / devc->num_channels;
703 devc->state.state = SIGMA_IDLE;
704 }
f6564c8d 705
e8397563 706 return ret;
28a35d8a
HE
707}
708
c53d793f
HE
709/*
710 * In 100 and 200 MHz mode, only a single pin rising/falling can be
711 * set as trigger. In other modes, two rising/falling triggers can be set,
ba7dd8bb 712 * in addition to value/mask trigger for any number of channels.
c53d793f
HE
713 *
714 * The Sigma supports complex triggers using boolean expressions, but this
715 * has not been implemented yet.
716 */
39c64c6a 717static int convert_trigger(const struct sr_dev_inst *sdi)
57bbf56b 718{
39c64c6a
BV
719 struct dev_context *devc;
720 struct sr_trigger *trigger;
721 struct sr_trigger_stage *stage;
722 struct sr_trigger_match *match;
723 const GSList *l, *m;
724 int channelbit, trigger_set;
57bbf56b 725
39c64c6a 726 devc = sdi->priv;
0e1357e8 727 memset(&devc->trigger, 0, sizeof(struct sigma_trigger));
39c64c6a
BV
728 if (!(trigger = sr_session_trigger_get()))
729 return SR_OK;
730
731 trigger_set = 0;
732 for (l = trigger->stages; l; l = l->next) {
733 stage = l->data;
734 for (m = stage->matches; m; m = m->next) {
735 match = m->data;
736 if (!match->channel->enabled)
737 /* Ignore disabled channels with a trigger. */
738 continue;
739 channelbit = 1 << (match->channel->index);
740 if (devc->cur_samplerate >= SR_MHZ(100)) {
741 /* Fast trigger support. */
742 if (trigger_set) {
743 sr_err("Only a single pin trigger is "
744 "supported in 100 and 200MHz mode.");
745 return SR_ERR;
746 }
747 if (match->match == SR_TRIGGER_FALLING)
748 devc->trigger.fallingmask |= channelbit;
749 else if (match->match == SR_TRIGGER_RISING)
750 devc->trigger.risingmask |= channelbit;
751 else {
752 sr_err("Only rising/falling trigger is "
753 "supported in 100 and 200MHz mode.");
754 return SR_ERR;
755 }
eec5275e 756
c53d793f 757 ++trigger_set;
39c64c6a
BV
758 } else {
759 /* Simple trigger support (event). */
760 if (match->match == SR_TRIGGER_ONE) {
761 devc->trigger.simplevalue |= channelbit;
762 devc->trigger.simplemask |= channelbit;
763 }
764 else if (match->match == SR_TRIGGER_ZERO) {
765 devc->trigger.simplevalue &= ~channelbit;
766 devc->trigger.simplemask |= channelbit;
767 }
768 else if (match->match == SR_TRIGGER_FALLING) {
769 devc->trigger.fallingmask |= channelbit;
770 ++trigger_set;
771 }
772 else if (match->match == SR_TRIGGER_RISING) {
773 devc->trigger.risingmask |= channelbit;
774 ++trigger_set;
775 }
776
777 /*
778 * Actually, Sigma supports 2 rising/falling triggers,
779 * but they are ORed and the current trigger syntax
780 * does not permit ORed triggers.
781 */
782 if (trigger_set > 1) {
783 sr_err("Only 1 rising/falling trigger "
784 "is supported.");
785 return SR_ERR;
786 }
ee492173 787 }
ee492173 788 }
57bbf56b
HE
789 }
790
39c64c6a 791
e46b8fb1 792 return SR_OK;
57bbf56b
HE
793}
794
6078d2c9 795static int dev_close(struct sr_dev_inst *sdi)
28a35d8a 796{
0e1357e8 797 struct dev_context *devc;
28a35d8a 798
961009b0 799 devc = sdi->priv;
697785d1
UH
800
801 /* TODO */
802 if (sdi->status == SR_ST_ACTIVE)
0e1357e8 803 ftdi_usb_close(&devc->ftdic);
697785d1
UH
804
805 sdi->status = SR_ST_INACTIVE;
806
807 return SR_OK;
28a35d8a
HE
808}
809
6078d2c9 810static int cleanup(void)
28a35d8a 811{
3b412e3a 812 return dev_clear();
28a35d8a
HE
813}
814
8f996b89 815static int config_get(int id, GVariant **data, const struct sr_dev_inst *sdi,
53b4680f 816 const struct sr_channel_group *cg)
28a35d8a 817{
0e1357e8 818 struct dev_context *devc;
99965709 819
53b4680f 820 (void)cg;
8f996b89 821
fb2e6de7
BV
822 if (!sdi)
823 return SR_ERR;
824 devc = sdi->priv;
825
035a1078 826 switch (id) {
123e1313 827 case SR_CONF_SAMPLERATE:
fb2e6de7
BV
828 *data = g_variant_new_uint64(devc->cur_samplerate);
829 break;
830 case SR_CONF_LIMIT_MSEC:
831 *data = g_variant_new_uint64(devc->limit_msec);
832 break;
833 case SR_CONF_CAPTURE_RATIO:
834 *data = g_variant_new_uint64(devc->capture_ratio);
28a35d8a 835 break;
d7bbecfd 836 default:
bd6fbf62 837 return SR_ERR_NA;
28a35d8a
HE
838 }
839
41479605 840 return SR_OK;
28a35d8a
HE
841}
842
8f996b89 843static int config_set(int id, GVariant *data, const struct sr_dev_inst *sdi,
53b4680f 844 const struct sr_channel_group *cg)
28a35d8a 845{
0e1357e8 846 struct dev_context *devc;
6b2d3385
BV
847 uint64_t tmp;
848 int ret;
f6564c8d 849
53b4680f 850 (void)cg;
8f996b89 851
e73ffd42
BV
852 if (sdi->status != SR_ST_ACTIVE)
853 return SR_ERR_DEV_CLOSED;
854
0e1357e8 855 devc = sdi->priv;
99965709 856
6b2d3385 857 ret = SR_OK;
6868626b
BV
858 switch (id) {
859 case SR_CONF_SAMPLERATE:
2c9c0df8 860 ret = set_samplerate(sdi, g_variant_get_uint64(data));
6868626b
BV
861 break;
862 case SR_CONF_LIMIT_MSEC:
6b2d3385
BV
863 tmp = g_variant_get_uint64(data);
864 if (tmp > 0)
865 devc->limit_msec = g_variant_get_uint64(data);
94ba4bd6 866 else
e46b8fb1 867 ret = SR_ERR;
6868626b
BV
868 break;
869 case SR_CONF_LIMIT_SAMPLES:
6b2d3385
BV
870 tmp = g_variant_get_uint64(data);
871 devc->limit_msec = tmp * 1000 / devc->cur_samplerate;
6868626b
BV
872 break;
873 case SR_CONF_CAPTURE_RATIO:
6b2d3385
BV
874 tmp = g_variant_get_uint64(data);
875 if (tmp <= 100)
876 devc->capture_ratio = tmp;
94ba4bd6 877 else
6b2d3385 878 ret = SR_ERR;
6868626b
BV
879 break;
880 default:
bd6fbf62 881 ret = SR_ERR_NA;
28a35d8a
HE
882 }
883
884 return ret;
885}
886
8f996b89 887static int config_list(int key, GVariant **data, const struct sr_dev_inst *sdi,
53b4680f 888 const struct sr_channel_group *cg)
a1c743fc 889{
2c9c0df8
BV
890 GVariant *gvar;
891 GVariantBuilder gvb;
a1c743fc
BV
892
893 (void)sdi;
53b4680f 894 (void)cg;
a1c743fc
BV
895
896 switch (key) {
9a6517d1 897 case SR_CONF_DEVICE_OPTIONS:
2c9c0df8
BV
898 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_INT32,
899 hwcaps, ARRAY_SIZE(hwcaps), sizeof(int32_t));
9a6517d1 900 break;
a1c743fc 901 case SR_CONF_SAMPLERATE:
2c9c0df8
BV
902 g_variant_builder_init(&gvb, G_VARIANT_TYPE("a{sv}"));
903 gvar = g_variant_new_fixed_array(G_VARIANT_TYPE("t"), samplerates,
904 ARRAY_SIZE(samplerates), sizeof(uint64_t));
905 g_variant_builder_add(&gvb, "{sv}", "samplerates", gvar);
906 *data = g_variant_builder_end(&gvb);
a1c743fc 907 break;
39c64c6a
BV
908 case SR_CONF_TRIGGER_MATCH:
909 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_INT32,
910 trigger_matches, ARRAY_SIZE(trigger_matches),
911 sizeof(int32_t));
c50277a6 912 break;
a1c743fc 913 default:
bd6fbf62 914 return SR_ERR_NA;
a1c743fc
BV
915 }
916
917 return SR_OK;
918}
919
36b1c8e6 920/* Software trigger to determine exact trigger position. */
5fc01191 921static int get_trigger_offset(uint8_t *samples, uint16_t last_sample,
36b1c8e6
HE
922 struct sigma_trigger *t)
923{
924 int i;
5fc01191 925 uint16_t sample = 0;
36b1c8e6
HE
926
927 for (i = 0; i < 8; ++i) {
928 if (i > 0)
5fc01191
MV
929 last_sample = sample;
930 sample = samples[2 * i] | (samples[2 * i + 1] << 8);
36b1c8e6
HE
931
932 /* Simple triggers. */
5fc01191 933 if ((sample & t->simplemask) != t->simplevalue)
36b1c8e6
HE
934 continue;
935
936 /* Rising edge. */
5fc01191
MV
937 if (((last_sample & t->risingmask) != 0) ||
938 ((sample & t->risingmask) != t->risingmask))
36b1c8e6
HE
939 continue;
940
941 /* Falling edge. */
bdfc7a89 942 if ((last_sample & t->fallingmask) != t->fallingmask ||
5fc01191 943 (sample & t->fallingmask) != 0)
36b1c8e6
HE
944 continue;
945
946 break;
947 }
948
949 /* If we did not match, return original trigger pos. */
950 return i & 0x7;
951}
952
3513d965
MV
953
954/*
955 * Return the timestamp of "DRAM cluster".
956 */
957static uint16_t sigma_dram_cluster_ts(struct sigma_dram_cluster *cluster)
958{
959 return (cluster->timestamp_hi << 8) | cluster->timestamp_lo;
960}
961
23239b5c
MV
962static void sigma_decode_dram_cluster(struct sigma_dram_cluster *dram_cluster,
963 unsigned int events_in_cluster,
1e23158b 964 unsigned int triggered,
23239b5c
MV
965 struct sr_dev_inst *sdi)
966{
967 struct dev_context *devc = sdi->priv;
968 struct sigma_state *ss = &devc->state;
969 struct sr_datafeed_packet packet;
970 struct sr_datafeed_logic logic;
971 uint16_t tsdiff, ts;
972 uint8_t samples[2048];
973 unsigned int i;
974
23239b5c
MV
975 ts = sigma_dram_cluster_ts(dram_cluster);
976 tsdiff = ts - ss->lastts;
977 ss->lastts = ts;
978
979 packet.type = SR_DF_LOGIC;
980 packet.payload = &logic;
981 logic.unitsize = 2;
982 logic.data = samples;
983
984 /*
985 * First of all, send Sigrok a copy of the last sample from
986 * previous cluster as many times as needed to make up for
987 * the differential characteristics of data we get from the
988 * Sigma. Sigrok needs one sample of data per period.
989 *
990 * One DRAM cluster contains a timestamp and seven samples,
991 * the units of timestamp are "devc->period_ps" , the first
992 * sample in the cluster happens at the time of the timestamp
993 * and the remaining samples happen at timestamp +1...+6 .
994 */
995 for (ts = 0; ts < tsdiff - (EVENTS_PER_CLUSTER - 1); ts++) {
996 i = ts % 1024;
997 samples[2 * i + 0] = ss->lastsample & 0xff;
998 samples[2 * i + 1] = ss->lastsample >> 8;
999
1000 /*
1001 * If we have 1024 samples ready or we're at the
1002 * end of submitting the padding samples, submit
1003 * the packet to Sigrok.
1004 */
1005 if ((i == 1023) || (ts == (tsdiff - EVENTS_PER_CLUSTER))) {
1006 logic.length = (i + 1) * logic.unitsize;
1007 sr_session_send(devc->cb_data, &packet);
1008 }
1009 }
1010
1011 /*
1012 * Parse the samples in current cluster and prepare them
1013 * to be submitted to Sigrok.
1014 */
1015 for (i = 0; i < events_in_cluster; i++) {
1016 samples[2 * i + 1] = dram_cluster->samples[i].sample_lo;
1017 samples[2 * i + 0] = dram_cluster->samples[i].sample_hi;
1018 }
1019
1020 /* Send data up to trigger point (if triggered). */
1021 int trigger_offset = 0;
1e23158b 1022 if (triggered) {
23239b5c
MV
1023 /*
1024 * Trigger is not always accurate to sample because of
1025 * pipeline delay. However, it always triggers before
1026 * the actual event. We therefore look at the next
1027 * samples to pinpoint the exact position of the trigger.
1028 */
1029 trigger_offset = get_trigger_offset(samples,
1030 ss->lastsample, &devc->trigger);
1031
1032 if (trigger_offset > 0) {
1033 packet.type = SR_DF_LOGIC;
1034 logic.length = trigger_offset * logic.unitsize;
1035 sr_session_send(devc->cb_data, &packet);
1036 events_in_cluster -= trigger_offset;
1037 }
1038
1039 /* Only send trigger if explicitly enabled. */
1040 if (devc->use_triggers) {
1041 packet.type = SR_DF_TRIGGER;
1042 sr_session_send(devc->cb_data, &packet);
1043 }
1044 }
1045
1046 if (events_in_cluster > 0) {
1047 packet.type = SR_DF_LOGIC;
1048 logic.length = events_in_cluster * logic.unitsize;
1049 logic.data = samples + (trigger_offset * logic.unitsize);
1050 sr_session_send(devc->cb_data, &packet);
1051 }
1052
1053 ss->lastsample =
1054 samples[2 * (events_in_cluster - 1) + 0] |
1055 (samples[2 * (events_in_cluster - 1) + 1] << 8);
1056
1057}
1058
28a35d8a 1059/*
fefa1800
UH
1060 * Decode chunk of 1024 bytes, 64 clusters, 7 events per cluster.
1061 * Each event is 20ns apart, and can contain multiple samples.
f78898e9
HE
1062 *
1063 * For 200 MHz, events contain 4 samples for each channel, spread 5 ns apart.
1064 * For 100 MHz, events contain 2 samples for each channel, spread 10 ns apart.
1065 * For 50 MHz and below, events contain one sample for each channel,
1066 * spread 20 ns apart.
28a35d8a 1067 */
1e23158b
MV
1068static int decode_chunk_ts(struct sigma_dram_line *dram_line,
1069 uint16_t events_in_line,
1070 uint32_t trigger_event,
1071 void *cb_data)
28a35d8a 1072{
3628074d 1073 struct sigma_dram_cluster *dram_cluster;
3cd3a20b 1074 struct sr_dev_inst *sdi = cb_data;
0e1357e8 1075 struct dev_context *devc = sdi->priv;
5fc01191
MV
1076 unsigned int clusters_in_line =
1077 (events_in_line + (EVENTS_PER_CLUSTER - 1)) / EVENTS_PER_CLUSTER;
1078 unsigned int events_in_cluster;
23239b5c 1079 unsigned int i;
1e23158b 1080 uint32_t trigger_cluster = ~0, triggered = 0;
ee492173 1081
4ae1f451 1082 /* Check if trigger is in this chunk. */
1e23158b
MV
1083 if (trigger_event < (64 * 7)) {
1084 if (devc->cur_samplerate <= SR_MHZ(50)) {
1085 trigger_event -= MIN(EVENTS_PER_CLUSTER - 1,
1086 trigger_event);
1087 }
57bbf56b 1088
ee492173 1089 /* Find in which cluster the trigger occured. */
1e23158b 1090 trigger_cluster = trigger_event / EVENTS_PER_CLUSTER;
ee492173 1091 }
28a35d8a 1092
5fc01191
MV
1093 /* For each full DRAM cluster. */
1094 for (i = 0; i < clusters_in_line; i++) {
3628074d 1095 dram_cluster = &dram_line->cluster[i];
5fc01191 1096
5fc01191 1097 /* The last cluster might not be full. */
23239b5c
MV
1098 if ((i == clusters_in_line - 1) &&
1099 (events_in_line % EVENTS_PER_CLUSTER)) {
5fc01191 1100 events_in_cluster = events_in_line % EVENTS_PER_CLUSTER;
23239b5c 1101 } else {
5fc01191 1102 events_in_cluster = EVENTS_PER_CLUSTER;
abda62ce 1103 }
ee492173 1104
1e23158b
MV
1105 triggered = (i == trigger_cluster);
1106 sigma_decode_dram_cluster(dram_cluster, events_in_cluster,
1107 triggered, sdi);
28a35d8a
HE
1108 }
1109
e46b8fb1 1110 return SR_OK;
28a35d8a
HE
1111}
1112
6057d9fa 1113static int download_capture(struct sr_dev_inst *sdi)
28a35d8a 1114{
6057d9fa 1115 struct dev_context *devc = sdi->priv;
28a35d8a 1116 const int chunks_per_read = 32;
fd830beb 1117 struct sigma_dram_line *dram_line;
c6648b66 1118 int bufsz;
462fe786 1119 uint32_t stoppos, triggerpos;
6057d9fa
MV
1120 struct sr_datafeed_packet packet;
1121 uint8_t modestatus;
1122
c6648b66
MV
1123 uint32_t i;
1124 uint32_t dl_lines_total, dl_lines_curr, dl_lines_done;
46641fac 1125 uint32_t dl_events_in_line = 64 * 7;
1e23158b 1126 uint32_t trg_line = ~0, trg_event = ~0;
c6648b66 1127
fd830beb
MV
1128 dram_line = g_try_malloc0(chunks_per_read * sizeof(*dram_line));
1129 if (!dram_line)
1130 return FALSE;
1131
6868626b
BV
1132 sr_info("Downloading sample data.");
1133
6057d9fa
MV
1134 /* Stop acquisition. */
1135 sigma_set_register(WRITE_MODE, 0x11, devc);
1136
1137 /* Set SDRAM Read Enable. */
1138 sigma_set_register(WRITE_MODE, 0x02, devc);
1139
1140 /* Get the current position. */
462fe786 1141 sigma_read_pos(&stoppos, &triggerpos, devc);
6057d9fa
MV
1142
1143 /* Check if trigger has fired. */
1144 modestatus = sigma_get_register(READ_MODE, devc);
1e23158b 1145 if (modestatus & 0x20) {
c6648b66 1146 trg_line = triggerpos >> 9;
1e23158b
MV
1147 trg_event = triggerpos & 0x1ff;
1148 }
6057d9fa 1149
c6648b66
MV
1150 /*
1151 * Determine how many 1024b "DRAM lines" do we need to read from the
1152 * Sigma so we have a complete set of samples. Note that the last
1153 * line can be only partial, containing less than 64 clusters.
1154 */
1155 dl_lines_total = (stoppos >> 9) + 1;
6868626b 1156
c6648b66 1157 dl_lines_done = 0;
6868626b 1158
c6648b66
MV
1159 while (dl_lines_total > dl_lines_done) {
1160 /* We can download only up-to 32 DRAM lines in one go! */
1161 dl_lines_curr = MIN(chunks_per_read, dl_lines_total);
6868626b 1162
f41a4cae
MV
1163 bufsz = sigma_read_dram(dl_lines_done, dl_lines_curr,
1164 (uint8_t *)dram_line, devc);
c6648b66
MV
1165 /* TODO: Check bufsz. For now, just avoid compiler warnings. */
1166 (void)bufsz;
6868626b 1167
c6648b66
MV
1168 /* This is the first DRAM line, so find the initial timestamp. */
1169 if (dl_lines_done == 0) {
3513d965
MV
1170 devc->state.lastts =
1171 sigma_dram_cluster_ts(&dram_line[0].cluster[0]);
c6648b66 1172 devc->state.lastsample = 0;
6868626b
BV
1173 }
1174
c6648b66 1175 for (i = 0; i < dl_lines_curr; i++) {
1e23158b 1176 uint32_t trigger_event = ~0;
c6648b66
MV
1177 /* The last "DRAM line" can be only partially full. */
1178 if (dl_lines_done + i == dl_lines_total - 1)
46641fac 1179 dl_events_in_line = stoppos & 0x1ff;
c6648b66 1180
e69ad48e 1181 /* Test if the trigger happened on this line. */
c6648b66 1182 if (dl_lines_done + i == trg_line)
1e23158b 1183 trigger_event = trg_event;
e69ad48e 1184
1e23158b
MV
1185 decode_chunk_ts(dram_line + i, dl_events_in_line,
1186 trigger_event, sdi);
c6648b66 1187 }
6868626b 1188
c6648b66 1189 dl_lines_done += dl_lines_curr;
6868626b
BV
1190 }
1191
6057d9fa
MV
1192 /* All done. */
1193 packet.type = SR_DF_END;
1194 sr_session_send(sdi, &packet);
1195
1196 dev_acquisition_stop(sdi, sdi);
1197
fd830beb
MV
1198 g_free(dram_line);
1199
6057d9fa 1200 return TRUE;
6868626b
BV
1201}
1202
d4051930
MV
1203/*
1204 * Handle the Sigma when in CAPTURE mode. This function checks:
1205 * - Sampling time ended
1206 * - DRAM capacity overflow
1207 * This function triggers download of the samples from Sigma
1208 * in case either of the above conditions is true.
1209 */
1210static int sigma_capture_mode(struct sr_dev_inst *sdi)
6868626b 1211{
d4051930
MV
1212 struct dev_context *devc = sdi->priv;
1213
94ba4bd6 1214 uint64_t running_msec;
28a35d8a 1215 struct timeval tv;
28a35d8a 1216
00c86508 1217 uint32_t stoppos, triggerpos;
28a35d8a 1218
00c86508 1219 /* Check if the selected sampling duration passed. */
d4051930
MV
1220 gettimeofday(&tv, 0);
1221 running_msec = (tv.tv_sec - devc->start_tv.tv_sec) * 1000 +
00c86508
MV
1222 (tv.tv_usec - devc->start_tv.tv_usec) / 1000;
1223 if (running_msec >= devc->limit_msec)
6057d9fa 1224 return download_capture(sdi);
00c86508
MV
1225
1226 /* Get the position in DRAM to which the FPGA is writing now. */
1227 sigma_read_pos(&stoppos, &triggerpos, devc);
1228 /* Test if DRAM is full and if so, download the data. */
1229 if ((stoppos >> 9) == 32767)
6057d9fa 1230 return download_capture(sdi);
28a35d8a 1231
d4051930
MV
1232 return TRUE;
1233}
28a35d8a 1234
d4051930
MV
1235static int receive_data(int fd, int revents, void *cb_data)
1236{
1237 struct sr_dev_inst *sdi;
1238 struct dev_context *devc;
88c51afe 1239
d4051930
MV
1240 (void)fd;
1241 (void)revents;
88c51afe 1242
d4051930
MV
1243 sdi = cb_data;
1244 devc = sdi->priv;
1245
1246 if (devc->state.state == SIGMA_IDLE)
1247 return TRUE;
1248
1249 if (devc->state.state == SIGMA_CAPTURE)
1250 return sigma_capture_mode(sdi);
28a35d8a 1251
28a35d8a
HE
1252 return TRUE;
1253}
1254
c53d793f
HE
1255/* Build a LUT entry used by the trigger functions. */
1256static void build_lut_entry(uint16_t value, uint16_t mask, uint16_t *entry)
ee492173
HE
1257{
1258 int i, j, k, bit;
1259
ba7dd8bb 1260 /* For each quad channel. */
ee492173 1261 for (i = 0; i < 4; ++i) {
c53d793f 1262 entry[i] = 0xffff;
ee492173 1263
f758d074 1264 /* For each bit in LUT. */
ee492173
HE
1265 for (j = 0; j < 16; ++j)
1266
ba7dd8bb 1267 /* For each channel in quad. */
ee492173
HE
1268 for (k = 0; k < 4; ++k) {
1269 bit = 1 << (i * 4 + k);
1270
c53d793f
HE
1271 /* Set bit in entry */
1272 if ((mask & bit) &&
1273 ((!(value & bit)) !=
4ae1f451 1274 (!(j & (1 << k)))))
c53d793f 1275 entry[i] &= ~(1 << j);
ee492173
HE
1276 }
1277 }
c53d793f 1278}
ee492173 1279
c53d793f
HE
1280/* Add a logical function to LUT mask. */
1281static void add_trigger_function(enum triggerop oper, enum triggerfunc func,
1282 int index, int neg, uint16_t *mask)
1283{
1284 int i, j;
1285 int x[2][2], tmp, a, b, aset, bset, rset;
1286
1287 memset(x, 0, 4 * sizeof(int));
1288
1289 /* Trigger detect condition. */
1290 switch (oper) {
1291 case OP_LEVEL:
1292 x[0][1] = 1;
1293 x[1][1] = 1;
1294 break;
1295 case OP_NOT:
1296 x[0][0] = 1;
1297 x[1][0] = 1;
1298 break;
1299 case OP_RISE:
1300 x[0][1] = 1;
1301 break;
1302 case OP_FALL:
1303 x[1][0] = 1;
1304 break;
1305 case OP_RISEFALL:
1306 x[0][1] = 1;
1307 x[1][0] = 1;
1308 break;
1309 case OP_NOTRISE:
1310 x[1][1] = 1;
1311 x[0][0] = 1;
1312 x[1][0] = 1;
1313 break;
1314 case OP_NOTFALL:
1315 x[1][1] = 1;
1316 x[0][0] = 1;
1317 x[0][1] = 1;
1318 break;
1319 case OP_NOTRISEFALL:
1320 x[1][1] = 1;
1321 x[0][0] = 1;
1322 break;
1323 }
1324
1325 /* Transpose if neg is set. */
1326 if (neg) {
ea9cfed7 1327 for (i = 0; i < 2; ++i) {
c53d793f
HE
1328 for (j = 0; j < 2; ++j) {
1329 tmp = x[i][j];
1330 x[i][j] = x[1-i][1-j];
1331 x[1-i][1-j] = tmp;
1332 }
ea9cfed7 1333 }
c53d793f
HE
1334 }
1335
1336 /* Update mask with function. */
1337 for (i = 0; i < 16; ++i) {
1338 a = (i >> (2 * index + 0)) & 1;
1339 b = (i >> (2 * index + 1)) & 1;
1340
1341 aset = (*mask >> i) & 1;
1342 bset = x[b][a];
1343
1344 if (func == FUNC_AND || func == FUNC_NAND)
1345 rset = aset & bset;
1346 else if (func == FUNC_OR || func == FUNC_NOR)
1347 rset = aset | bset;
1348 else if (func == FUNC_XOR || func == FUNC_NXOR)
1349 rset = aset ^ bset;
1350
1351 if (func == FUNC_NAND || func == FUNC_NOR || func == FUNC_NXOR)
1352 rset = !rset;
1353
1354 *mask &= ~(1 << i);
1355
1356 if (rset)
1357 *mask |= 1 << i;
1358 }
1359}
1360
1361/*
1362 * Build trigger LUTs used by 50 MHz and lower sample rates for supporting
1363 * simple pin change and state triggers. Only two transitions (rise/fall) can be
1364 * set at any time, but a full mask and value can be set (0/1).
1365 */
0e1357e8 1366static int build_basic_trigger(struct triggerlut *lut, struct dev_context *devc)
c53d793f
HE
1367{
1368 int i,j;
4ae1f451 1369 uint16_t masks[2] = { 0, 0 };
c53d793f
HE
1370
1371 memset(lut, 0, sizeof(struct triggerlut));
1372
1373 /* Contant for simple triggers. */
1374 lut->m4 = 0xa000;
1375
1376 /* Value/mask trigger support. */
0e1357e8 1377 build_lut_entry(devc->trigger.simplevalue, devc->trigger.simplemask,
99965709 1378 lut->m2d);
c53d793f
HE
1379
1380 /* Rise/fall trigger support. */
1381 for (i = 0, j = 0; i < 16; ++i) {
0e1357e8
BV
1382 if (devc->trigger.risingmask & (1 << i) ||
1383 devc->trigger.fallingmask & (1 << i))
c53d793f
HE
1384 masks[j++] = 1 << i;
1385 }
1386
1387 build_lut_entry(masks[0], masks[0], lut->m0d);
1388 build_lut_entry(masks[1], masks[1], lut->m1d);
1389
1390 /* Add glue logic */
1391 if (masks[0] || masks[1]) {
1392 /* Transition trigger. */
0e1357e8 1393 if (masks[0] & devc->trigger.risingmask)
c53d793f 1394 add_trigger_function(OP_RISE, FUNC_OR, 0, 0, &lut->m3);
0e1357e8 1395 if (masks[0] & devc->trigger.fallingmask)
c53d793f 1396 add_trigger_function(OP_FALL, FUNC_OR, 0, 0, &lut->m3);
0e1357e8 1397 if (masks[1] & devc->trigger.risingmask)
c53d793f 1398 add_trigger_function(OP_RISE, FUNC_OR, 1, 0, &lut->m3);
0e1357e8 1399 if (masks[1] & devc->trigger.fallingmask)
c53d793f
HE
1400 add_trigger_function(OP_FALL, FUNC_OR, 1, 0, &lut->m3);
1401 } else {
1402 /* Only value/mask trigger. */
1403 lut->m3 = 0xffff;
1404 }
ee492173 1405
c53d793f 1406 /* Triggertype: event. */
ee492173
HE
1407 lut->params.selres = 3;
1408
e46b8fb1 1409 return SR_OK;
ee492173
HE
1410}
1411
6078d2c9 1412static int dev_acquisition_start(const struct sr_dev_inst *sdi, void *cb_data)
28a35d8a 1413{
0e1357e8 1414 struct dev_context *devc;
9ddb2a12 1415 struct clockselect_50 clockselect;
82957b65 1416 int frac, triggerpin, ret;
f4abaa9f 1417 uint8_t triggerselect = 0;
57bbf56b 1418 struct triggerinout triggerinout_conf;
ee492173 1419 struct triggerlut lut;
28a35d8a 1420
e73ffd42
BV
1421 if (sdi->status != SR_ST_ACTIVE)
1422 return SR_ERR_DEV_CLOSED;
1423
0e1357e8 1424 devc = sdi->priv;
28a35d8a 1425
39c64c6a
BV
1426 if (convert_trigger(sdi) != SR_OK) {
1427 sr_err("Failed to configure triggers.");
014359e3
BV
1428 return SR_ERR;
1429 }
1430
ea9cfed7 1431 /* If the samplerate has not been set, default to 200 kHz. */
0e1357e8 1432 if (devc->cur_firmware == -1) {
82957b65
UH
1433 if ((ret = set_samplerate(sdi, SR_KHZ(200))) != SR_OK)
1434 return ret;
1435 }
e8397563 1436
eec5275e 1437 /* Enter trigger programming mode. */
0e1357e8 1438 sigma_set_register(WRITE_TRIGGER_SELECT1, 0x20, devc);
28a35d8a 1439
eec5275e 1440 /* 100 and 200 MHz mode. */
0e1357e8
BV
1441 if (devc->cur_samplerate >= SR_MHZ(100)) {
1442 sigma_set_register(WRITE_TRIGGER_SELECT1, 0x81, devc);
57bbf56b 1443
a42aec7f
HE
1444 /* Find which pin to trigger on from mask. */
1445 for (triggerpin = 0; triggerpin < 8; ++triggerpin)
0e1357e8 1446 if ((devc->trigger.risingmask | devc->trigger.fallingmask) &
a42aec7f
HE
1447 (1 << triggerpin))
1448 break;
1449
1450 /* Set trigger pin and light LED on trigger. */
1451 triggerselect = (1 << LEDSEL1) | (triggerpin & 0x7);
1452
1453 /* Default rising edge. */
0e1357e8 1454 if (devc->trigger.fallingmask)
a42aec7f 1455 triggerselect |= 1 << 3;
57bbf56b 1456
eec5275e 1457 /* All other modes. */
0e1357e8
BV
1458 } else if (devc->cur_samplerate <= SR_MHZ(50)) {
1459 build_basic_trigger(&lut, devc);
ee492173 1460
0e1357e8 1461 sigma_write_trigger_lut(&lut, devc);
57bbf56b
HE
1462
1463 triggerselect = (1 << LEDSEL1) | (1 << LEDSEL0);
1464 }
1465
eec5275e 1466 /* Setup trigger in and out pins to default values. */
57bbf56b
HE
1467 memset(&triggerinout_conf, 0, sizeof(struct triggerinout));
1468 triggerinout_conf.trgout_bytrigger = 1;
1469 triggerinout_conf.trgout_enable = 1;
1470
28a35d8a 1471 sigma_write_register(WRITE_TRIGGER_OPTION,
57bbf56b 1472 (uint8_t *) &triggerinout_conf,
0e1357e8 1473 sizeof(struct triggerinout), devc);
28a35d8a 1474
eec5275e 1475 /* Go back to normal mode. */
0e1357e8 1476 sigma_set_register(WRITE_TRIGGER_SELECT1, triggerselect, devc);
28a35d8a 1477
edca2c5c 1478 /* Set clock select register. */
0e1357e8 1479 if (devc->cur_samplerate == SR_MHZ(200))
ba7dd8bb 1480 /* Enable 4 channels. */
0e1357e8
BV
1481 sigma_set_register(WRITE_CLOCK_SELECT, 0xf0, devc);
1482 else if (devc->cur_samplerate == SR_MHZ(100))
ba7dd8bb 1483 /* Enable 8 channels. */
0e1357e8 1484 sigma_set_register(WRITE_CLOCK_SELECT, 0x00, devc);
edca2c5c
HE
1485 else {
1486 /*
9ddb2a12 1487 * 50 MHz mode (or fraction thereof). Any fraction down to
eec5275e 1488 * 50 MHz / 256 can be used, but is not supported by sigrok API.
edca2c5c 1489 */
0e1357e8 1490 frac = SR_MHZ(50) / devc->cur_samplerate - 1;
edca2c5c 1491
9ddb2a12
UH
1492 clockselect.async = 0;
1493 clockselect.fraction = frac;
ba7dd8bb 1494 clockselect.disabled_channels = 0;
edca2c5c
HE
1495
1496 sigma_write_register(WRITE_CLOCK_SELECT,
9ddb2a12 1497 (uint8_t *) &clockselect,
0e1357e8 1498 sizeof(clockselect), devc);
edca2c5c
HE
1499 }
1500
fefa1800 1501 /* Setup maximum post trigger time. */
99965709 1502 sigma_set_register(WRITE_POST_TRIGGER,
0e1357e8 1503 (devc->capture_ratio * 255) / 100, devc);
28a35d8a 1504
eec5275e 1505 /* Start acqusition. */
0e1357e8
BV
1506 gettimeofday(&devc->start_tv, 0);
1507 sigma_set_register(WRITE_MODE, 0x0d, devc);
99965709 1508
3e9b7f9c 1509 devc->cb_data = cb_data;
28a35d8a 1510
3c36c403 1511 /* Send header packet to the session bus. */
29a27196 1512 std_session_send_df_header(cb_data, LOG_PREFIX);
f366e86c 1513
f366e86c 1514 /* Add capture source. */
3ffb6964 1515 sr_source_add(0, G_IO_IN, 10, receive_data, (void *)sdi);
f366e86c 1516
0e1357e8 1517 devc->state.state = SIGMA_CAPTURE;
6aac7737 1518
e46b8fb1 1519 return SR_OK;
28a35d8a
HE
1520}
1521
6078d2c9 1522static int dev_acquisition_stop(struct sr_dev_inst *sdi, void *cb_data)
28a35d8a 1523{
0e1357e8 1524 struct dev_context *devc;
6aac7737 1525
3cd3a20b 1526 (void)cb_data;
28a35d8a 1527
6868626b
BV
1528 devc = sdi->priv;
1529 devc->state.state = SIGMA_IDLE;
6aac7737 1530
6868626b 1531 sr_source_remove(0);
3010f21c
UH
1532
1533 return SR_OK;
28a35d8a
HE
1534}
1535
c09f0b57 1536SR_PRIV struct sr_dev_driver asix_sigma_driver_info = {
e519ba86 1537 .name = "asix-sigma",
6352d030 1538 .longname = "ASIX SIGMA/SIGMA2",
e519ba86 1539 .api_version = 1,
6078d2c9
UH
1540 .init = init,
1541 .cleanup = cleanup,
1542 .scan = scan,
1543 .dev_list = dev_list,
3b412e3a 1544 .dev_clear = dev_clear,
035a1078
BV
1545 .config_get = config_get,
1546 .config_set = config_set,
a1c743fc 1547 .config_list = config_list,
6078d2c9
UH
1548 .dev_open = dev_open,
1549 .dev_close = dev_close,
1550 .dev_acquisition_start = dev_acquisition_start,
1551 .dev_acquisition_stop = dev_acquisition_stop,
0e1357e8 1552 .priv = NULL,
28a35d8a 1553};