]> sigrok.org Git - libsigrok.git/blame - src/hardware/asix-sigma/protocol.c
asix-sigma: Only change number of channels after successful firmware upload
[libsigrok.git] / src / hardware / asix-sigma / protocol.c
CommitLineData
28a35d8a 1/*
50985c20 2 * This file is part of the libsigrok project.
28a35d8a 3 *
868501fa 4 * Copyright (C) 2010-2012 Håvard Espeland <gus@ping.uio.no>,
911f1834
UH
5 * Copyright (C) 2010 Martin Stensgård <mastensg@ping.uio.no>
6 * Copyright (C) 2010 Carl Henrik Lunde <chlunde@ping.uio.no>
28a35d8a
HE
7 *
8 * This program is free software: you can redistribute it and/or modify
9 * it under the terms of the GNU General Public License as published by
10 * the Free Software Foundation, either version 3 of the License, or
11 * (at your option) any later version.
12 *
13 * This program is distributed in the hope that it will be useful,
14 * but WITHOUT ANY WARRANTY; without even the implied warranty of
15 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16 * GNU General Public License for more details.
17 *
18 * You should have received a copy of the GNU General Public License
19 * along with this program. If not, see <http://www.gnu.org/licenses/>.
20 */
21
911f1834 22/*
6352d030 23 * ASIX SIGMA/SIGMA2 logic analyzer driver
911f1834
UH
24 */
25
6ec6c43b 26#include <config.h>
3ba56876 27#include "protocol.h"
28a35d8a 28
b1648dea
MV
29/*
30 * The ASIX Sigma supports arbitrary integer frequency divider in
31 * the 50MHz mode. The divider is in range 1...256 , allowing for
32 * very precise sampling rate selection. This driver supports only
33 * a subset of the sampling rates.
34 */
3ba56876 35SR_PRIV const uint64_t samplerates[] = {
b1648dea
MV
36 SR_KHZ(200), /* div=250 */
37 SR_KHZ(250), /* div=200 */
38 SR_KHZ(500), /* div=100 */
39 SR_MHZ(1), /* div=50 */
40 SR_MHZ(5), /* div=10 */
41 SR_MHZ(10), /* div=5 */
42 SR_MHZ(25), /* div=2 */
43 SR_MHZ(50), /* div=1 */
44 SR_MHZ(100), /* Special FW needed */
45 SR_MHZ(200), /* Special FW needed */
28a35d8a
HE
46};
47
4154a516 48SR_PRIV const size_t samplerates_count = ARRAY_SIZE(samplerates);
39c64c6a 49
8e2d6c9d 50static const char sigma_firmware_files[][24] = {
499b17e9 51 /* 50 MHz, supports 8 bit fractions */
8e2d6c9d 52 "asix-sigma-50.fw",
499b17e9 53 /* 100 MHz */
8e2d6c9d 54 "asix-sigma-100.fw",
499b17e9 55 /* 200 MHz */
8e2d6c9d 56 "asix-sigma-200.fw",
499b17e9 57 /* Synchronous clock from pin */
8e2d6c9d 58 "asix-sigma-50sync.fw",
499b17e9 59 /* Frequency counter */
8e2d6c9d 60 "asix-sigma-phasor.fw",
f6564c8d
HE
61};
62
0e1357e8 63static int sigma_read(void *buf, size_t size, struct dev_context *devc)
28a35d8a
HE
64{
65 int ret;
fefa1800 66
0e1357e8 67 ret = ftdi_read_data(&devc->ftdic, (unsigned char *)buf, size);
28a35d8a 68 if (ret < 0) {
47f4f073 69 sr_err("ftdi_read_data failed: %s",
0e1357e8 70 ftdi_get_error_string(&devc->ftdic));
28a35d8a
HE
71 }
72
73 return ret;
74}
75
0e1357e8 76static int sigma_write(void *buf, size_t size, struct dev_context *devc)
28a35d8a
HE
77{
78 int ret;
fefa1800 79
0e1357e8 80 ret = ftdi_write_data(&devc->ftdic, (unsigned char *)buf, size);
28a35d8a 81 if (ret < 0) {
47f4f073 82 sr_err("ftdi_write_data failed: %s",
0e1357e8 83 ftdi_get_error_string(&devc->ftdic));
fefa1800 84 } else if ((size_t) ret != size) {
47f4f073 85 sr_err("ftdi_write_data did not complete write.");
28a35d8a
HE
86 }
87
88 return ret;
89}
90
e8686e3a
AG
91/*
92 * NOTE: We chose the buffer size to be large enough to hold any write to the
93 * device. We still print a message just in case.
94 */
3ba56876 95SR_PRIV int sigma_write_register(uint8_t reg, uint8_t *data, size_t len,
96 struct dev_context *devc)
28a35d8a
HE
97{
98 size_t i;
e8686e3a 99 uint8_t buf[80];
28a35d8a
HE
100 int idx = 0;
101
7c86d853 102 if ((2 * len + 2) > sizeof(buf)) {
e8686e3a 103 sr_err("Attempted to write %zu bytes, but buffer is too small.",
7c86d853 104 len);
e8686e3a
AG
105 return SR_ERR_BUG;
106 }
107
28a35d8a
HE
108 buf[idx++] = REG_ADDR_LOW | (reg & 0xf);
109 buf[idx++] = REG_ADDR_HIGH | (reg >> 4);
110
0a1f7b09 111 for (i = 0; i < len; i++) {
28a35d8a
HE
112 buf[idx++] = REG_DATA_LOW | (data[i] & 0xf);
113 buf[idx++] = REG_DATA_HIGH_WRITE | (data[i] >> 4);
114 }
115
0e1357e8 116 return sigma_write(buf, idx, devc);
28a35d8a
HE
117}
118
3ba56876 119SR_PRIV int sigma_set_register(uint8_t reg, uint8_t value, struct dev_context *devc)
28a35d8a 120{
0e1357e8 121 return sigma_write_register(reg, &value, 1, devc);
28a35d8a
HE
122}
123
99965709 124static int sigma_read_register(uint8_t reg, uint8_t *data, size_t len,
0e1357e8 125 struct dev_context *devc)
28a35d8a
HE
126{
127 uint8_t buf[3];
fefa1800 128
28a35d8a
HE
129 buf[0] = REG_ADDR_LOW | (reg & 0xf);
130 buf[1] = REG_ADDR_HIGH | (reg >> 4);
28a35d8a
HE
131 buf[2] = REG_READ_ADDR;
132
0e1357e8 133 sigma_write(buf, sizeof(buf), devc);
28a35d8a 134
0e1357e8 135 return sigma_read(data, len, devc);
28a35d8a
HE
136}
137
0e1357e8 138static uint8_t sigma_get_register(uint8_t reg, struct dev_context *devc)
28a35d8a
HE
139{
140 uint8_t value;
fefa1800 141
0e1357e8 142 if (1 != sigma_read_register(reg, &value, 1, devc)) {
47f4f073 143 sr_err("sigma_get_register: 1 byte expected");
28a35d8a
HE
144 return 0;
145 }
146
147 return value;
148}
149
99965709 150static int sigma_read_pos(uint32_t *stoppos, uint32_t *triggerpos,
0e1357e8 151 struct dev_context *devc)
28a35d8a
HE
152{
153 uint8_t buf[] = {
154 REG_ADDR_LOW | READ_TRIGGER_POS_LOW,
155
156 REG_READ_ADDR | NEXT_REG,
157 REG_READ_ADDR | NEXT_REG,
158 REG_READ_ADDR | NEXT_REG,
159 REG_READ_ADDR | NEXT_REG,
160 REG_READ_ADDR | NEXT_REG,
161 REG_READ_ADDR | NEXT_REG,
162 };
28a35d8a
HE
163 uint8_t result[6];
164
0e1357e8 165 sigma_write(buf, sizeof(buf), devc);
28a35d8a 166
0e1357e8 167 sigma_read(result, sizeof(result), devc);
28a35d8a
HE
168
169 *triggerpos = result[0] | (result[1] << 8) | (result[2] << 16);
170 *stoppos = result[3] | (result[4] << 8) | (result[5] << 16);
171
57bbf56b
HE
172 /* Not really sure why this must be done, but according to spec. */
173 if ((--*stoppos & 0x1ff) == 0x1ff)
382cb19f 174 *stoppos -= 64;
57bbf56b
HE
175
176 if ((*--triggerpos & 0x1ff) == 0x1ff)
382cb19f 177 *triggerpos -= 64;
57bbf56b 178
28a35d8a
HE
179 return 1;
180}
181
99965709 182static int sigma_read_dram(uint16_t startchunk, size_t numchunks,
0e1357e8 183 uint8_t *data, struct dev_context *devc)
28a35d8a
HE
184{
185 size_t i;
186 uint8_t buf[4096];
f06fb3e9 187 int idx;
28a35d8a 188
fefa1800 189 /* Send the startchunk. Index start with 1. */
f06fb3e9
GS
190 idx = 0;
191 buf[idx++] = startchunk >> 8;
192 buf[idx++] = startchunk & 0xff;
193 sigma_write_register(WRITE_MEMROW, buf, idx, devc);
28a35d8a 194
fefa1800 195 /* Read the DRAM. */
f06fb3e9 196 idx = 0;
28a35d8a
HE
197 buf[idx++] = REG_DRAM_BLOCK;
198 buf[idx++] = REG_DRAM_WAIT_ACK;
199
0a1f7b09 200 for (i = 0; i < numchunks; i++) {
fefa1800
UH
201 /* Alternate bit to copy from DRAM to cache. */
202 if (i != (numchunks - 1))
203 buf[idx++] = REG_DRAM_BLOCK | (((i + 1) % 2) << 4);
28a35d8a
HE
204
205 buf[idx++] = REG_DRAM_BLOCK_DATA | ((i % 2) << 4);
206
fefa1800 207 if (i != (numchunks - 1))
28a35d8a
HE
208 buf[idx++] = REG_DRAM_WAIT_ACK;
209 }
210
0e1357e8 211 sigma_write(buf, idx, devc);
28a35d8a 212
0e1357e8 213 return sigma_read(data, numchunks * CHUNK_SIZE, devc);
28a35d8a
HE
214}
215
4ae1f451 216/* Upload trigger look-up tables to Sigma. */
3ba56876 217SR_PRIV int sigma_write_trigger_lut(struct triggerlut *lut, struct dev_context *devc)
ee492173
HE
218{
219 int i;
220 uint8_t tmp[2];
221 uint16_t bit;
222
223 /* Transpose the table and send to Sigma. */
0a1f7b09 224 for (i = 0; i < 16; i++) {
ee492173
HE
225 bit = 1 << i;
226
227 tmp[0] = tmp[1] = 0;
228
229 if (lut->m2d[0] & bit)
230 tmp[0] |= 0x01;
231 if (lut->m2d[1] & bit)
232 tmp[0] |= 0x02;
233 if (lut->m2d[2] & bit)
234 tmp[0] |= 0x04;
235 if (lut->m2d[3] & bit)
236 tmp[0] |= 0x08;
237
238 if (lut->m3 & bit)
239 tmp[0] |= 0x10;
240 if (lut->m3s & bit)
241 tmp[0] |= 0x20;
242 if (lut->m4 & bit)
243 tmp[0] |= 0x40;
244
245 if (lut->m0d[0] & bit)
246 tmp[1] |= 0x01;
247 if (lut->m0d[1] & bit)
248 tmp[1] |= 0x02;
249 if (lut->m0d[2] & bit)
250 tmp[1] |= 0x04;
251 if (lut->m0d[3] & bit)
252 tmp[1] |= 0x08;
253
254 if (lut->m1d[0] & bit)
255 tmp[1] |= 0x10;
256 if (lut->m1d[1] & bit)
257 tmp[1] |= 0x20;
258 if (lut->m1d[2] & bit)
259 tmp[1] |= 0x40;
260 if (lut->m1d[3] & bit)
261 tmp[1] |= 0x80;
262
99965709 263 sigma_write_register(WRITE_TRIGGER_SELECT0, tmp, sizeof(tmp),
0e1357e8
BV
264 devc);
265 sigma_set_register(WRITE_TRIGGER_SELECT1, 0x30 | i, devc);
ee492173
HE
266 }
267
268 /* Send the parameters */
269 sigma_write_register(WRITE_TRIGGER_SELECT0, (uint8_t *) &lut->params,
0e1357e8 270 sizeof(lut->params), devc);
ee492173 271
e46b8fb1 272 return SR_OK;
ee492173
HE
273}
274
3ba56876 275SR_PRIV void sigma_clear_helper(void *priv)
0448d110 276{
0e1357e8 277 struct dev_context *devc;
ce4d26dd 278
3678cf73 279 devc = priv;
0e1357e8 280
3678cf73
UH
281 ftdi_deinit(&devc->ftdic);
282}
0448d110 283
d5fa188a
MV
284/*
285 * Configure the FPGA for bitbang mode.
286 * This sequence is documented in section 2. of the ASIX Sigma programming
287 * manual. This sequence is necessary to configure the FPGA in the Sigma
288 * into Bitbang mode, in which it can be programmed with the firmware.
289 */
290static int sigma_fpga_init_bitbang(struct dev_context *devc)
291{
292 uint8_t suicide[] = {
293 0x84, 0x84, 0x88, 0x84, 0x88, 0x84, 0x88, 0x84,
294 };
295 uint8_t init_array[] = {
296 0x01, 0x03, 0x03, 0x01, 0x01, 0x01, 0x01, 0x01,
297 0x01, 0x01,
298 };
1a46cc62 299 int i, ret, timeout = (10 * 1000);
d5fa188a
MV
300 uint8_t data;
301
302 /* Section 2. part 1), do the FPGA suicide. */
303 sigma_write(suicide, sizeof(suicide), devc);
304 sigma_write(suicide, sizeof(suicide), devc);
305 sigma_write(suicide, sizeof(suicide), devc);
306 sigma_write(suicide, sizeof(suicide), devc);
307
308 /* Section 2. part 2), do pulse on D1. */
309 sigma_write(init_array, sizeof(init_array), devc);
310 ftdi_usb_purge_buffers(&devc->ftdic);
311
312 /* Wait until the FPGA asserts D6/INIT_B. */
313 for (i = 0; i < timeout; i++) {
314 ret = sigma_read(&data, 1, devc);
315 if (ret < 0)
316 return ret;
317 /* Test if pin D6 got asserted. */
318 if (data & (1 << 5))
319 return 0;
320 /* The D6 was not asserted yet, wait a bit. */
1a46cc62 321 g_usleep(10 * 1000);
d5fa188a
MV
322 }
323
324 return SR_ERR_TIMEOUT;
325}
326
64fe661b
MV
327/*
328 * Configure the FPGA for logic-analyzer mode.
329 */
330static int sigma_fpga_init_la(struct dev_context *devc)
331{
332 /* Initialize the logic analyzer mode. */
22f64ed8 333 uint8_t mode_regval = WMR_SDRAMINIT;
64fe661b 334 uint8_t logic_mode_start[] = {
011f1091 335 REG_ADDR_LOW | (READ_ID & 0xf),
84a6ed1a 336 REG_ADDR_HIGH | (READ_ID >> 4),
011f1091
MV
337 REG_READ_ADDR, /* Read ID register. */
338
339 REG_ADDR_LOW | (WRITE_TEST & 0xf),
340 REG_DATA_LOW | 0x5,
341 REG_DATA_HIGH_WRITE | 0x5,
342 REG_READ_ADDR, /* Read scratch register. */
343
344 REG_DATA_LOW | 0xa,
345 REG_DATA_HIGH_WRITE | 0xa,
346 REG_READ_ADDR, /* Read scratch register. */
347
348 REG_ADDR_LOW | (WRITE_MODE & 0xf),
22f64ed8
GS
349 REG_DATA_LOW | (mode_regval & 0xf),
350 REG_DATA_HIGH_WRITE | (mode_regval >> 4),
64fe661b
MV
351 };
352
353 uint8_t result[3];
354 int ret;
355
356 /* Initialize the logic analyzer mode. */
357 sigma_write(logic_mode_start, sizeof(logic_mode_start), devc);
358
011f1091 359 /* Expect a 3 byte reply since we issued three READ requests. */
64fe661b
MV
360 ret = sigma_read(result, 3, devc);
361 if (ret != 3)
362 goto err;
363
364 if (result[0] != 0xa6 || result[1] != 0x55 || result[2] != 0xaa)
365 goto err;
366
367 return SR_OK;
368err:
369 sr_err("Configuration failed. Invalid reply received.");
370 return SR_ERR;
371}
372
a80226bb
MV
373/*
374 * Read the firmware from a file and transform it into a series of bitbang
375 * pulses used to program the FPGA. Note that the *bb_cmd must be free()'d
376 * by the caller of this function.
377 */
8e2d6c9d 378static int sigma_fw_2_bitbang(struct sr_context *ctx, const char *name,
a80226bb
MV
379 uint8_t **bb_cmd, gsize *bb_cmd_size)
380{
8e2d6c9d
DE
381 size_t i, file_size, bb_size;
382 char *firmware;
a80226bb
MV
383 uint8_t *bb_stream, *bbs;
384 uint32_t imm;
385 int bit, v;
386 int ret = SR_OK;
387
387825dc 388 /* Retrieve the on-disk firmware file content. */
8e2d6c9d
DE
389 firmware = sr_resource_load(ctx, SR_RESOURCE_FIRMWARE,
390 name, &file_size, 256 * 1024);
391 if (!firmware)
392 return SR_ERR;
a80226bb 393
387825dc 394 /* Unscramble the file content (XOR with "random" sequence). */
a80226bb
MV
395 imm = 0x3f6df2ab;
396 for (i = 0; i < file_size; i++) {
397 imm = (imm + 0xa853753) % 177 + (imm * 0x8034052);
398 firmware[i] ^= imm & 0xff;
399 }
400
401 /*
387825dc
GS
402 * Generate a sequence of bitbang samples. With two samples per
403 * FPGA configuration bit, providing the level for the DIN signal
404 * as well as two edges for CCLK. See Xilinx UG332 for details
405 * ("slave serial" mode).
406 *
407 * Note that CCLK is inverted in hardware. That's why the
408 * respective bit is first set and then cleared in the bitbang
409 * sample sets. So that the DIN level will be stable when the
410 * data gets sampled at the rising CCLK edge, and the signals'
411 * setup time constraint will be met.
412 *
413 * The caller will put the FPGA into download mode, will send
414 * the bitbang samples, and release the allocated memory.
a80226bb 415 */
a80226bb
MV
416 bb_size = file_size * 8 * 2;
417 bb_stream = (uint8_t *)g_try_malloc(bb_size);
418 if (!bb_stream) {
419 sr_err("%s: Failed to allocate bitbang stream", __func__);
420 ret = SR_ERR_MALLOC;
421 goto exit;
422 }
a80226bb
MV
423 bbs = bb_stream;
424 for (i = 0; i < file_size; i++) {
425 for (bit = 7; bit >= 0; bit--) {
426 v = (firmware[i] & (1 << bit)) ? 0x40 : 0x00;
427 *bbs++ = v | 0x01;
428 *bbs++ = v;
429 }
430 }
431
432 /* The transformation completed successfully, return the result. */
433 *bb_cmd = bb_stream;
434 *bb_cmd_size = bb_size;
435
436exit:
8e2d6c9d 437 g_free(firmware);
a80226bb
MV
438 return ret;
439}
440
8e2d6c9d
DE
441static int upload_firmware(struct sr_context *ctx,
442 int firmware_idx, struct dev_context *devc)
28a35d8a
HE
443{
444 int ret;
445 unsigned char *buf;
446 unsigned char pins;
447 size_t buf_size;
a9016883
GS
448 const char *firmware;
449 struct ftdi_context *ftdic;
450
451 /* Avoid downloading the same firmware multiple times. */
452 firmware = sigma_firmware_files[firmware_idx];
453 if (devc->cur_firmware == firmware_idx) {
454 sr_info("Not uploading firmware file '%s' again.", firmware);
455 return SR_OK;
456 }
28a35d8a 457
fefa1800 458 /* Make sure it's an ASIX SIGMA. */
a9016883 459 ftdic = &devc->ftdic;
8bbf7627
MV
460 ret = ftdi_usb_open_desc(ftdic, USB_VENDOR, USB_PRODUCT,
461 USB_DESCRIPTION, NULL);
462 if (ret < 0) {
47f4f073 463 sr_err("ftdi_usb_open failed: %s",
8bbf7627 464 ftdi_get_error_string(ftdic));
7bcf2168 465 return SR_ERR;
28a35d8a
HE
466 }
467
8bbf7627
MV
468 ret = ftdi_set_bitmode(ftdic, 0xdf, BITMODE_BITBANG);
469 if (ret < 0) {
47f4f073 470 sr_err("ftdi_set_bitmode failed: %s",
8bbf7627 471 ftdi_get_error_string(ftdic));
7bcf2168 472 return SR_ERR;
28a35d8a
HE
473 }
474
fefa1800 475 /* Four times the speed of sigmalogan - Works well. */
1a46cc62 476 ret = ftdi_set_baudrate(ftdic, 750 * 1000);
8bbf7627 477 if (ret < 0) {
47f4f073 478 sr_err("ftdi_set_baudrate failed: %s",
8bbf7627 479 ftdi_get_error_string(ftdic));
7bcf2168 480 return SR_ERR;
28a35d8a
HE
481 }
482
d5fa188a
MV
483 /* Initialize the FPGA for firmware upload. */
484 ret = sigma_fpga_init_bitbang(devc);
485 if (ret)
486 return ret;
28a35d8a 487
9ddb2a12 488 /* Prepare firmware. */
8e2d6c9d 489 ret = sigma_fw_2_bitbang(ctx, firmware, &buf, &buf_size);
8bbf7627 490 if (ret != SR_OK) {
f3f19d11 491 sr_err("An error occurred while reading the firmware: %s",
499b17e9 492 firmware);
b53738ba 493 return ret;
28a35d8a
HE
494 }
495
f3f19d11 496 /* Upload firmware. */
499b17e9 497 sr_info("Uploading firmware file '%s'.", firmware);
0e1357e8 498 sigma_write(buf, buf_size, devc);
28a35d8a
HE
499
500 g_free(buf);
501
8bbf7627
MV
502 ret = ftdi_set_bitmode(ftdic, 0x00, BITMODE_RESET);
503 if (ret < 0) {
47f4f073 504 sr_err("ftdi_set_bitmode failed: %s",
8bbf7627 505 ftdi_get_error_string(ftdic));
e46b8fb1 506 return SR_ERR;
28a35d8a
HE
507 }
508
8bbf7627 509 ftdi_usb_purge_buffers(ftdic);
28a35d8a 510
fefa1800 511 /* Discard garbage. */
29b66a2e 512 while (sigma_read(&pins, 1, devc) == 1)
28a35d8a
HE
513 ;
514
64fe661b
MV
515 /* Initialize the FPGA for logic-analyzer mode. */
516 ret = sigma_fpga_init_la(devc);
517 if (ret != SR_OK)
518 return ret;
28a35d8a 519
0e1357e8 520 devc->cur_firmware = firmware_idx;
f6564c8d 521
47f4f073 522 sr_info("Firmware uploaded.");
e3fff420 523
e46b8fb1 524 return SR_OK;
f6564c8d
HE
525}
526
9a0a606a
GS
527/*
528 * Sigma doesn't support limiting the number of samples, so we have to
529 * translate the number and the samplerate to an elapsed time.
530 *
531 * In addition we need to ensure that the last data cluster has passed
532 * the hardware pipeline, and became available to the PC side. With RLE
533 * compression up to 327ms could pass before another cluster accumulates
534 * at 200kHz samplerate when input pins don't change.
535 */
536SR_PRIV uint64_t sigma_limit_samples_to_msec(const struct dev_context *devc,
537 uint64_t limit_samples)
538{
539 uint64_t limit_msec;
540 uint64_t worst_cluster_time_ms;
541
542 limit_msec = limit_samples * 1000 / devc->cur_samplerate;
543 worst_cluster_time_ms = 65536 * 1000 / devc->cur_samplerate;
544 /*
545 * One cluster time is not enough to flush pipeline when sampling
546 * grounded pins with 1 sample limit at 200kHz. Hence the 2* fix.
547 */
548 return limit_msec + 2 * worst_cluster_time_ms;
549}
550
3ba56876 551SR_PRIV int sigma_set_samplerate(const struct sr_dev_inst *sdi, uint64_t samplerate)
f6564c8d 552{
2c9c0df8 553 struct dev_context *devc;
8e2d6c9d 554 struct drv_context *drvc;
4154a516 555 size_t i;
2c9c0df8 556 int ret;
ac9534f4 557 int num_channels;
f6564c8d 558
2c9c0df8 559 devc = sdi->priv;
8e2d6c9d 560 drvc = sdi->driver->context;
f4abaa9f
UH
561 ret = SR_OK;
562
2f7e529c 563 /* Reject rates that are not in the list of supported rates. */
4154a516 564 for (i = 0; i < samplerates_count; i++) {
2c9c0df8 565 if (samplerates[i] == samplerate)
f6564c8d
HE
566 break;
567 }
4154a516 568 if (i >= samplerates_count || samplerates[i] == 0)
e46b8fb1 569 return SR_ERR_SAMPLERATE;
f6564c8d 570
2f7e529c
GS
571 /*
572 * Depending on the samplerates of 200/100/50- MHz, specific
573 * firmware is required and higher rates might limit the set
574 * of available channels.
575 */
ac9534f4 576 num_channels = devc->num_channels;
59df0c77 577 if (samplerate <= SR_MHZ(50)) {
8e2d6c9d 578 ret = upload_firmware(drvc->sr_ctx, 0, devc);
ac9534f4 579 num_channels = 16;
6b2d3385 580 } else if (samplerate == SR_MHZ(100)) {
8e2d6c9d 581 ret = upload_firmware(drvc->sr_ctx, 1, devc);
ac9534f4 582 num_channels = 8;
6b2d3385 583 } else if (samplerate == SR_MHZ(200)) {
8e2d6c9d 584 ret = upload_firmware(drvc->sr_ctx, 2, devc);
ac9534f4 585 num_channels = 4;
f78898e9 586 }
f6564c8d 587
2f7e529c
GS
588 /*
589 * Derive the sample period from the sample rate as well as the
590 * number of samples that the device will communicate within
591 * an "event" (memory organization internal to the device).
592 */
6b2d3385 593 if (ret == SR_OK) {
ac9534f4 594 devc->num_channels = num_channels;
6b2d3385 595 devc->cur_samplerate = samplerate;
6b2d3385
BV
596 devc->samples_per_event = 16 / devc->num_channels;
597 devc->state.state = SIGMA_IDLE;
598 }
f6564c8d 599
2f7e529c
GS
600 /*
601 * Support for "limit_samples" is implemented by stopping
602 * acquisition after a corresponding period of time.
603 * Re-calculate that period of time, in case the limit is
604 * set first and the samplerate gets (re-)configured later.
605 */
606 if (ret == SR_OK && devc->limit_samples) {
607 uint64_t msecs;
9a0a606a 608 msecs = sigma_limit_samples_to_msec(devc, devc->limit_samples);
2f7e529c
GS
609 devc->limit_msec = msecs;
610 }
611
e8397563 612 return ret;
28a35d8a
HE
613}
614
c53d793f
HE
615/*
616 * In 100 and 200 MHz mode, only a single pin rising/falling can be
617 * set as trigger. In other modes, two rising/falling triggers can be set,
ba7dd8bb 618 * in addition to value/mask trigger for any number of channels.
c53d793f
HE
619 *
620 * The Sigma supports complex triggers using boolean expressions, but this
621 * has not been implemented yet.
622 */
3ba56876 623SR_PRIV int sigma_convert_trigger(const struct sr_dev_inst *sdi)
57bbf56b 624{
39c64c6a
BV
625 struct dev_context *devc;
626 struct sr_trigger *trigger;
627 struct sr_trigger_stage *stage;
628 struct sr_trigger_match *match;
629 const GSList *l, *m;
630 int channelbit, trigger_set;
57bbf56b 631
39c64c6a 632 devc = sdi->priv;
0e1357e8 633 memset(&devc->trigger, 0, sizeof(struct sigma_trigger));
0812c40e 634 if (!(trigger = sr_session_trigger_get(sdi->session)))
39c64c6a
BV
635 return SR_OK;
636
637 trigger_set = 0;
638 for (l = trigger->stages; l; l = l->next) {
639 stage = l->data;
640 for (m = stage->matches; m; m = m->next) {
641 match = m->data;
642 if (!match->channel->enabled)
643 /* Ignore disabled channels with a trigger. */
644 continue;
645 channelbit = 1 << (match->channel->index);
646 if (devc->cur_samplerate >= SR_MHZ(100)) {
647 /* Fast trigger support. */
648 if (trigger_set) {
649 sr_err("Only a single pin trigger is "
650 "supported in 100 and 200MHz mode.");
651 return SR_ERR;
652 }
653 if (match->match == SR_TRIGGER_FALLING)
654 devc->trigger.fallingmask |= channelbit;
655 else if (match->match == SR_TRIGGER_RISING)
656 devc->trigger.risingmask |= channelbit;
657 else {
658 sr_err("Only rising/falling trigger is "
659 "supported in 100 and 200MHz mode.");
660 return SR_ERR;
661 }
eec5275e 662
0a1f7b09 663 trigger_set++;
39c64c6a
BV
664 } else {
665 /* Simple trigger support (event). */
666 if (match->match == SR_TRIGGER_ONE) {
667 devc->trigger.simplevalue |= channelbit;
668 devc->trigger.simplemask |= channelbit;
669 }
670 else if (match->match == SR_TRIGGER_ZERO) {
671 devc->trigger.simplevalue &= ~channelbit;
672 devc->trigger.simplemask |= channelbit;
673 }
674 else if (match->match == SR_TRIGGER_FALLING) {
675 devc->trigger.fallingmask |= channelbit;
0a1f7b09 676 trigger_set++;
39c64c6a
BV
677 }
678 else if (match->match == SR_TRIGGER_RISING) {
679 devc->trigger.risingmask |= channelbit;
0a1f7b09 680 trigger_set++;
39c64c6a
BV
681 }
682
683 /*
684 * Actually, Sigma supports 2 rising/falling triggers,
685 * but they are ORed and the current trigger syntax
686 * does not permit ORed triggers.
687 */
688 if (trigger_set > 1) {
689 sr_err("Only 1 rising/falling trigger "
690 "is supported.");
691 return SR_ERR;
692 }
ee492173 693 }
ee492173 694 }
57bbf56b
HE
695 }
696
e46b8fb1 697 return SR_OK;
57bbf56b
HE
698}
699
a1c743fc 700
36b1c8e6 701/* Software trigger to determine exact trigger position. */
5fc01191 702static int get_trigger_offset(uint8_t *samples, uint16_t last_sample,
36b1c8e6
HE
703 struct sigma_trigger *t)
704{
705 int i;
5fc01191 706 uint16_t sample = 0;
36b1c8e6 707
0a1f7b09 708 for (i = 0; i < 8; i++) {
36b1c8e6 709 if (i > 0)
5fc01191
MV
710 last_sample = sample;
711 sample = samples[2 * i] | (samples[2 * i + 1] << 8);
36b1c8e6
HE
712
713 /* Simple triggers. */
5fc01191 714 if ((sample & t->simplemask) != t->simplevalue)
36b1c8e6
HE
715 continue;
716
717 /* Rising edge. */
5fc01191
MV
718 if (((last_sample & t->risingmask) != 0) ||
719 ((sample & t->risingmask) != t->risingmask))
36b1c8e6
HE
720 continue;
721
722 /* Falling edge. */
bdfc7a89 723 if ((last_sample & t->fallingmask) != t->fallingmask ||
5fc01191 724 (sample & t->fallingmask) != 0)
36b1c8e6
HE
725 continue;
726
727 break;
728 }
729
730 /* If we did not match, return original trigger pos. */
731 return i & 0x7;
732}
733
3513d965
MV
734/*
735 * Return the timestamp of "DRAM cluster".
736 */
737static uint16_t sigma_dram_cluster_ts(struct sigma_dram_cluster *cluster)
738{
739 return (cluster->timestamp_hi << 8) | cluster->timestamp_lo;
740}
741
0498f743
GS
742/*
743 * Return one 16bit data entity of a DRAM cluster at the specified index.
744 */
745static uint16_t sigma_dram_cluster_data(struct sigma_dram_cluster *cl, int idx)
746{
747 uint16_t sample;
748
749 sample = 0;
750 sample |= cl->samples[idx].sample_lo << 0;
751 sample |= cl->samples[idx].sample_hi << 8;
3281cf59 752 sample = (sample >> 8) | (sample << 8);
0498f743
GS
753 return sample;
754}
755
85c032e4
GS
756/*
757 * Deinterlace sample data that was retrieved at 100MHz samplerate.
758 * One 16bit item contains two samples of 8bits each. The bits of
759 * multiple samples are interleaved.
760 */
761static uint16_t sigma_deinterlace_100mhz_data(uint16_t indata, int idx)
762{
763 uint16_t outdata;
764
765 indata >>= idx;
766 outdata = 0;
767 outdata |= (indata >> (0 * 2 - 0)) & (1 << 0);
768 outdata |= (indata >> (1 * 2 - 1)) & (1 << 1);
769 outdata |= (indata >> (2 * 2 - 2)) & (1 << 2);
770 outdata |= (indata >> (3 * 2 - 3)) & (1 << 3);
771 outdata |= (indata >> (4 * 2 - 4)) & (1 << 4);
772 outdata |= (indata >> (5 * 2 - 5)) & (1 << 5);
773 outdata |= (indata >> (6 * 2 - 6)) & (1 << 6);
774 outdata |= (indata >> (7 * 2 - 7)) & (1 << 7);
775 return outdata;
776}
777
778/*
779 * Deinterlace sample data that was retrieved at 200MHz samplerate.
780 * One 16bit item contains four samples of 4bits each. The bits of
781 * multiple samples are interleaved.
782 */
783static uint16_t sigma_deinterlace_200mhz_data(uint16_t indata, int idx)
784{
785 uint16_t outdata;
786
787 indata >>= idx;
788 outdata = 0;
789 outdata |= (indata >> (0 * 4 - 0)) & (1 << 0);
790 outdata |= (indata >> (1 * 4 - 1)) & (1 << 1);
791 outdata |= (indata >> (2 * 4 - 2)) & (1 << 2);
792 outdata |= (indata >> (3 * 4 - 3)) & (1 << 3);
793 return outdata;
794}
795
0498f743
GS
796static void store_sr_sample(uint8_t *samples, int idx, uint16_t data)
797{
798 samples[2 * idx + 0] = (data >> 0) & 0xff;
799 samples[2 * idx + 1] = (data >> 8) & 0xff;
800}
801
735ed8a1
GS
802/*
803 * Local wrapper around sr_session_send() calls. Make sure to not send
804 * more samples to the session's datafeed than what was requested by a
805 * previously configured (optional) sample count.
806 */
807static void sigma_session_send(struct sr_dev_inst *sdi,
808 struct sr_datafeed_packet *packet)
809{
810 struct dev_context *devc;
811 struct sr_datafeed_logic *logic;
812 uint64_t send_now;
813
814 devc = sdi->priv;
815 if (devc->limit_samples) {
816 logic = (void *)packet->payload;
817 send_now = logic->length / logic->unitsize;
818 if (devc->sent_samples + send_now > devc->limit_samples) {
819 send_now = devc->limit_samples - devc->sent_samples;
820 logic->length = send_now * logic->unitsize;
821 }
822 if (!send_now)
823 return;
824 devc->sent_samples += send_now;
825 }
826
827 sr_session_send(sdi, packet);
828}
829
85c032e4
GS
830/*
831 * This size translates to: event count (1K events per cluster), times
832 * the sample width (unitsize, 16bits per event), times the maximum
833 * number of samples per event.
834 */
835#define SAMPLES_BUFFER_SIZE (1024 * 2 * 4)
836
23239b5c
MV
837static void sigma_decode_dram_cluster(struct sigma_dram_cluster *dram_cluster,
838 unsigned int events_in_cluster,
1e23158b 839 unsigned int triggered,
23239b5c
MV
840 struct sr_dev_inst *sdi)
841{
842 struct dev_context *devc = sdi->priv;
843 struct sigma_state *ss = &devc->state;
844 struct sr_datafeed_packet packet;
845 struct sr_datafeed_logic logic;
85c032e4
GS
846 uint16_t tsdiff, ts, sample, item16;
847 uint8_t samples[SAMPLES_BUFFER_SIZE];
848 uint8_t *send_ptr;
849 size_t send_count, trig_count;
23239b5c 850 unsigned int i;
85c032e4 851 int j;
23239b5c 852
23239b5c
MV
853 ts = sigma_dram_cluster_ts(dram_cluster);
854 tsdiff = ts - ss->lastts;
a44b3b3f 855 ss->lastts = ts + EVENTS_PER_CLUSTER;
23239b5c
MV
856
857 packet.type = SR_DF_LOGIC;
858 packet.payload = &logic;
859 logic.unitsize = 2;
860 logic.data = samples;
861
862 /*
468f17f2
GS
863 * If this cluster is not adjacent to the previously received
864 * cluster, then send the appropriate number of samples with the
865 * previous values to the sigrok session. This "decodes RLE".
23239b5c 866 */
a44b3b3f 867 for (ts = 0; ts < tsdiff; ts++) {
23239b5c 868 i = ts % 1024;
0498f743 869 store_sr_sample(samples, i, ss->lastsample);
23239b5c
MV
870
871 /*
872 * If we have 1024 samples ready or we're at the
873 * end of submitting the padding samples, submit
85c032e4
GS
874 * the packet to Sigrok. Since constant data is
875 * sent, duplication of data for rates above 50MHz
876 * is simple.
23239b5c 877 */
a44b3b3f 878 if ((i == 1023) || (ts == tsdiff - 1)) {
23239b5c 879 logic.length = (i + 1) * logic.unitsize;
85c032e4 880 for (j = 0; j < devc->samples_per_event; j++)
735ed8a1 881 sigma_session_send(sdi, &packet);
23239b5c
MV
882 }
883 }
884
885 /*
886 * Parse the samples in current cluster and prepare them
85c032e4
GS
887 * to be submitted to Sigrok. Cope with memory layouts that
888 * vary with the samplerate.
23239b5c 889 */
85c032e4
GS
890 send_ptr = &samples[0];
891 send_count = 0;
0498f743 892 sample = 0;
23239b5c 893 for (i = 0; i < events_in_cluster; i++) {
85c032e4
GS
894 item16 = sigma_dram_cluster_data(dram_cluster, i);
895 if (devc->cur_samplerate == SR_MHZ(200)) {
896 sample = sigma_deinterlace_200mhz_data(item16, 0);
897 store_sr_sample(samples, send_count++, sample);
898 sample = sigma_deinterlace_200mhz_data(item16, 1);
899 store_sr_sample(samples, send_count++, sample);
900 sample = sigma_deinterlace_200mhz_data(item16, 2);
901 store_sr_sample(samples, send_count++, sample);
902 sample = sigma_deinterlace_200mhz_data(item16, 3);
903 store_sr_sample(samples, send_count++, sample);
904 } else if (devc->cur_samplerate == SR_MHZ(100)) {
905 sample = sigma_deinterlace_100mhz_data(item16, 0);
906 store_sr_sample(samples, send_count++, sample);
907 sample = sigma_deinterlace_100mhz_data(item16, 1);
908 store_sr_sample(samples, send_count++, sample);
909 } else {
910 sample = item16;
911 store_sr_sample(samples, send_count++, sample);
912 }
23239b5c
MV
913 }
914
de3f7acb
GS
915 /*
916 * If a trigger position applies, then provide the datafeed with
917 * the first part of data up to that position, then send the
918 * trigger marker.
919 */
23239b5c 920 int trigger_offset = 0;
1e23158b 921 if (triggered) {
23239b5c
MV
922 /*
923 * Trigger is not always accurate to sample because of
924 * pipeline delay. However, it always triggers before
925 * the actual event. We therefore look at the next
926 * samples to pinpoint the exact position of the trigger.
927 */
928 trigger_offset = get_trigger_offset(samples,
929 ss->lastsample, &devc->trigger);
930
931 if (trigger_offset > 0) {
85c032e4 932 trig_count = trigger_offset * devc->samples_per_event;
23239b5c 933 packet.type = SR_DF_LOGIC;
85c032e4 934 logic.length = trig_count * logic.unitsize;
735ed8a1 935 sigma_session_send(sdi, &packet);
85c032e4
GS
936 send_ptr += trig_count * logic.unitsize;
937 send_count -= trig_count;
23239b5c
MV
938 }
939
940 /* Only send trigger if explicitly enabled. */
941 if (devc->use_triggers) {
942 packet.type = SR_DF_TRIGGER;
102f1239 943 sr_session_send(sdi, &packet);
23239b5c
MV
944 }
945 }
946
de3f7acb
GS
947 /*
948 * Send the data after the trigger, or all of the received data
949 * if no trigger position applies.
950 */
85c032e4 951 if (send_count) {
23239b5c 952 packet.type = SR_DF_LOGIC;
85c032e4
GS
953 logic.length = send_count * logic.unitsize;
954 logic.data = send_ptr;
735ed8a1 955 sigma_session_send(sdi, &packet);
23239b5c
MV
956 }
957
0498f743 958 ss->lastsample = sample;
23239b5c
MV
959}
960
28a35d8a 961/*
fefa1800
UH
962 * Decode chunk of 1024 bytes, 64 clusters, 7 events per cluster.
963 * Each event is 20ns apart, and can contain multiple samples.
f78898e9
HE
964 *
965 * For 200 MHz, events contain 4 samples for each channel, spread 5 ns apart.
966 * For 100 MHz, events contain 2 samples for each channel, spread 10 ns apart.
967 * For 50 MHz and below, events contain one sample for each channel,
968 * spread 20 ns apart.
28a35d8a 969 */
1e23158b
MV
970static int decode_chunk_ts(struct sigma_dram_line *dram_line,
971 uint16_t events_in_line,
972 uint32_t trigger_event,
102f1239 973 struct sr_dev_inst *sdi)
28a35d8a 974{
3628074d 975 struct sigma_dram_cluster *dram_cluster;
f06fb3e9
GS
976 struct dev_context *devc;
977 unsigned int clusters_in_line;
5fc01191 978 unsigned int events_in_cluster;
23239b5c 979 unsigned int i;
f06fb3e9
GS
980 uint32_t trigger_cluster, triggered;
981
982 devc = sdi->priv;
983 clusters_in_line = events_in_line;
984 clusters_in_line += EVENTS_PER_CLUSTER - 1;
985 clusters_in_line /= EVENTS_PER_CLUSTER;
986 trigger_cluster = ~0;
987 triggered = 0;
ee492173 988
4ae1f451 989 /* Check if trigger is in this chunk. */
1e23158b
MV
990 if (trigger_event < (64 * 7)) {
991 if (devc->cur_samplerate <= SR_MHZ(50)) {
992 trigger_event -= MIN(EVENTS_PER_CLUSTER - 1,
993 trigger_event);
994 }
57bbf56b 995
f3f19d11 996 /* Find in which cluster the trigger occurred. */
1e23158b 997 trigger_cluster = trigger_event / EVENTS_PER_CLUSTER;
ee492173 998 }
28a35d8a 999
5fc01191
MV
1000 /* For each full DRAM cluster. */
1001 for (i = 0; i < clusters_in_line; i++) {
3628074d 1002 dram_cluster = &dram_line->cluster[i];
5fc01191 1003
5fc01191 1004 /* The last cluster might not be full. */
23239b5c
MV
1005 if ((i == clusters_in_line - 1) &&
1006 (events_in_line % EVENTS_PER_CLUSTER)) {
5fc01191 1007 events_in_cluster = events_in_line % EVENTS_PER_CLUSTER;
23239b5c 1008 } else {
5fc01191 1009 events_in_cluster = EVENTS_PER_CLUSTER;
abda62ce 1010 }
ee492173 1011
1e23158b
MV
1012 triggered = (i == trigger_cluster);
1013 sigma_decode_dram_cluster(dram_cluster, events_in_cluster,
1014 triggered, sdi);
28a35d8a
HE
1015 }
1016
e46b8fb1 1017 return SR_OK;
28a35d8a
HE
1018}
1019
6057d9fa 1020static int download_capture(struct sr_dev_inst *sdi)
28a35d8a 1021{
e15e5873 1022 const uint32_t chunks_per_read = 32;
f06fb3e9
GS
1023
1024 struct dev_context *devc;
fd830beb 1025 struct sigma_dram_line *dram_line;
c6648b66 1026 int bufsz;
462fe786 1027 uint32_t stoppos, triggerpos;
6057d9fa 1028 uint8_t modestatus;
c6648b66
MV
1029 uint32_t i;
1030 uint32_t dl_lines_total, dl_lines_curr, dl_lines_done;
74d453ab 1031 uint32_t dl_first_line, dl_line;
f06fb3e9
GS
1032 uint32_t dl_events_in_line;
1033 uint32_t trg_line, trg_event;
1034
1035 devc = sdi->priv;
1036 dl_events_in_line = 64 * 7;
1037 trg_line = ~0;
1038 trg_event = ~0;
c6648b66 1039
fd830beb
MV
1040 dram_line = g_try_malloc0(chunks_per_read * sizeof(*dram_line));
1041 if (!dram_line)
1042 return FALSE;
1043
6868626b
BV
1044 sr_info("Downloading sample data.");
1045
22f64ed8
GS
1046 /*
1047 * Ask the hardware to stop data acquisition. Reception of the
1048 * FORCESTOP request makes the hardware "disable RLE" (store
1049 * clusters to DRAM regardless of whether pin state changes) and
1050 * raise the POSTTRIGGERED flag.
1051 */
1052 sigma_set_register(WRITE_MODE, WMR_FORCESTOP | WMR_SDRAMWRITEEN, devc);
1053 do {
1054 modestatus = sigma_get_register(READ_MODE, devc);
1055 } while (!(modestatus & RMR_POSTTRIGGERED));
6057d9fa
MV
1056
1057 /* Set SDRAM Read Enable. */
22f64ed8 1058 sigma_set_register(WRITE_MODE, WMR_SDRAMREADEN, devc);
6057d9fa
MV
1059
1060 /* Get the current position. */
462fe786 1061 sigma_read_pos(&stoppos, &triggerpos, devc);
6057d9fa
MV
1062
1063 /* Check if trigger has fired. */
1064 modestatus = sigma_get_register(READ_MODE, devc);
22f64ed8 1065 if (modestatus & RMR_TRIGGERED) {
c6648b66 1066 trg_line = triggerpos >> 9;
1e23158b
MV
1067 trg_event = triggerpos & 0x1ff;
1068 }
6057d9fa 1069
735ed8a1
GS
1070 devc->sent_samples = 0;
1071
c6648b66 1072 /*
74d453ab
GS
1073 * Determine how many "DRAM lines" of 1024 bytes each we need to
1074 * retrieve from the Sigma hardware, so that we have a complete
1075 * set of samples. Note that the last line need not contain 64
1076 * clusters, it might be partially filled only.
1077 *
1078 * When RMR_ROUND is set, the circular buffer in DRAM has wrapped
1079 * around. Since the status of the very next line is uncertain in
1080 * that case, we skip it and start reading from the next line. The
1081 * circular buffer has 32K lines (0x8000).
c6648b66
MV
1082 */
1083 dl_lines_total = (stoppos >> 9) + 1;
74d453ab
GS
1084 if (modestatus & RMR_ROUND) {
1085 dl_first_line = dl_lines_total + 1;
1086 dl_lines_total = 0x8000 - 2;
1087 } else {
1088 dl_first_line = 0;
1089 }
c6648b66 1090 dl_lines_done = 0;
c6648b66
MV
1091 while (dl_lines_total > dl_lines_done) {
1092 /* We can download only up-to 32 DRAM lines in one go! */
547c4cdc 1093 dl_lines_curr = MIN(chunks_per_read, dl_lines_total - dl_lines_done);
6868626b 1094
74d453ab
GS
1095 dl_line = dl_first_line + dl_lines_done;
1096 dl_line %= 0x8000;
1097 bufsz = sigma_read_dram(dl_line, dl_lines_curr,
f41a4cae 1098 (uint8_t *)dram_line, devc);
c6648b66
MV
1099 /* TODO: Check bufsz. For now, just avoid compiler warnings. */
1100 (void)bufsz;
6868626b 1101
c6648b66
MV
1102 /* This is the first DRAM line, so find the initial timestamp. */
1103 if (dl_lines_done == 0) {
3513d965
MV
1104 devc->state.lastts =
1105 sigma_dram_cluster_ts(&dram_line[0].cluster[0]);
c6648b66 1106 devc->state.lastsample = 0;
6868626b
BV
1107 }
1108
c6648b66 1109 for (i = 0; i < dl_lines_curr; i++) {
1e23158b 1110 uint32_t trigger_event = ~0;
c6648b66
MV
1111 /* The last "DRAM line" can be only partially full. */
1112 if (dl_lines_done + i == dl_lines_total - 1)
46641fac 1113 dl_events_in_line = stoppos & 0x1ff;
c6648b66 1114
e69ad48e 1115 /* Test if the trigger happened on this line. */
c6648b66 1116 if (dl_lines_done + i == trg_line)
1e23158b 1117 trigger_event = trg_event;
e69ad48e 1118
1e23158b
MV
1119 decode_chunk_ts(dram_line + i, dl_events_in_line,
1120 trigger_event, sdi);
c6648b66 1121 }
6868626b 1122
c6648b66 1123 dl_lines_done += dl_lines_curr;
6868626b
BV
1124 }
1125
bee2b016 1126 std_session_send_df_end(sdi);
6057d9fa 1127
695dc859 1128 sdi->driver->dev_acquisition_stop(sdi);
6057d9fa 1129
fd830beb
MV
1130 g_free(dram_line);
1131
6057d9fa 1132 return TRUE;
6868626b
BV
1133}
1134
d4051930 1135/*
74d453ab
GS
1136 * Periodically check the Sigma status when in CAPTURE mode. This routine
1137 * checks whether the configured sample count or sample time have passed,
1138 * and will stop acquisition and download the acquired samples.
d4051930
MV
1139 */
1140static int sigma_capture_mode(struct sr_dev_inst *sdi)
6868626b 1141{
f06fb3e9 1142 struct dev_context *devc;
94ba4bd6 1143 uint64_t running_msec;
2f425a56 1144 uint64_t current_time;
28a35d8a 1145
f06fb3e9
GS
1146 devc = sdi->priv;
1147
74d453ab
GS
1148 /*
1149 * Check if the selected sampling duration passed. Sample count
1150 * limits are covered by this enforced timeout as well.
1151 */
2f425a56
GS
1152 current_time = g_get_monotonic_time();
1153 running_msec = (current_time - devc->start_time) / 1000;
00c86508 1154 if (running_msec >= devc->limit_msec)
6057d9fa 1155 return download_capture(sdi);
00c86508 1156
d4051930
MV
1157 return TRUE;
1158}
28a35d8a 1159
3ba56876 1160SR_PRIV int sigma_receive_data(int fd, int revents, void *cb_data)
d4051930
MV
1161{
1162 struct sr_dev_inst *sdi;
1163 struct dev_context *devc;
88c51afe 1164
d4051930
MV
1165 (void)fd;
1166 (void)revents;
88c51afe 1167
d4051930
MV
1168 sdi = cb_data;
1169 devc = sdi->priv;
1170
1171 if (devc->state.state == SIGMA_IDLE)
1172 return TRUE;
1173
1174 if (devc->state.state == SIGMA_CAPTURE)
1175 return sigma_capture_mode(sdi);
28a35d8a 1176
28a35d8a
HE
1177 return TRUE;
1178}
1179
c53d793f
HE
1180/* Build a LUT entry used by the trigger functions. */
1181static void build_lut_entry(uint16_t value, uint16_t mask, uint16_t *entry)
ee492173
HE
1182{
1183 int i, j, k, bit;
1184
ba7dd8bb 1185 /* For each quad channel. */
0a1f7b09 1186 for (i = 0; i < 4; i++) {
c53d793f 1187 entry[i] = 0xffff;
ee492173 1188
f758d074 1189 /* For each bit in LUT. */
0a1f7b09 1190 for (j = 0; j < 16; j++)
ee492173 1191
ba7dd8bb 1192 /* For each channel in quad. */
0a1f7b09 1193 for (k = 0; k < 4; k++) {
ee492173
HE
1194 bit = 1 << (i * 4 + k);
1195
c53d793f 1196 /* Set bit in entry */
0a1f7b09
UH
1197 if ((mask & bit) && ((!(value & bit)) !=
1198 (!(j & (1 << k)))))
c53d793f 1199 entry[i] &= ~(1 << j);
ee492173
HE
1200 }
1201 }
c53d793f 1202}
ee492173 1203
c53d793f
HE
1204/* Add a logical function to LUT mask. */
1205static void add_trigger_function(enum triggerop oper, enum triggerfunc func,
1206 int index, int neg, uint16_t *mask)
1207{
1208 int i, j;
1209 int x[2][2], tmp, a, b, aset, bset, rset;
1210
1211 memset(x, 0, 4 * sizeof(int));
1212
1213 /* Trigger detect condition. */
1214 switch (oper) {
1215 case OP_LEVEL:
1216 x[0][1] = 1;
1217 x[1][1] = 1;
1218 break;
1219 case OP_NOT:
1220 x[0][0] = 1;
1221 x[1][0] = 1;
1222 break;
1223 case OP_RISE:
1224 x[0][1] = 1;
1225 break;
1226 case OP_FALL:
1227 x[1][0] = 1;
1228 break;
1229 case OP_RISEFALL:
1230 x[0][1] = 1;
1231 x[1][0] = 1;
1232 break;
1233 case OP_NOTRISE:
1234 x[1][1] = 1;
1235 x[0][0] = 1;
1236 x[1][0] = 1;
1237 break;
1238 case OP_NOTFALL:
1239 x[1][1] = 1;
1240 x[0][0] = 1;
1241 x[0][1] = 1;
1242 break;
1243 case OP_NOTRISEFALL:
1244 x[1][1] = 1;
1245 x[0][0] = 1;
1246 break;
1247 }
1248
1249 /* Transpose if neg is set. */
1250 if (neg) {
0a1f7b09
UH
1251 for (i = 0; i < 2; i++) {
1252 for (j = 0; j < 2; j++) {
c53d793f 1253 tmp = x[i][j];
0a1f7b09
UH
1254 x[i][j] = x[1 - i][1 - j];
1255 x[1 - i][1 - j] = tmp;
c53d793f 1256 }
ea9cfed7 1257 }
c53d793f
HE
1258 }
1259
1260 /* Update mask with function. */
0a1f7b09 1261 for (i = 0; i < 16; i++) {
c53d793f
HE
1262 a = (i >> (2 * index + 0)) & 1;
1263 b = (i >> (2 * index + 1)) & 1;
1264
1265 aset = (*mask >> i) & 1;
1266 bset = x[b][a];
1267
382cb19f 1268 rset = 0;
c53d793f
HE
1269 if (func == FUNC_AND || func == FUNC_NAND)
1270 rset = aset & bset;
1271 else if (func == FUNC_OR || func == FUNC_NOR)
1272 rset = aset | bset;
1273 else if (func == FUNC_XOR || func == FUNC_NXOR)
1274 rset = aset ^ bset;
1275
1276 if (func == FUNC_NAND || func == FUNC_NOR || func == FUNC_NXOR)
1277 rset = !rset;
1278
1279 *mask &= ~(1 << i);
1280
1281 if (rset)
1282 *mask |= 1 << i;
1283 }
1284}
1285
1286/*
1287 * Build trigger LUTs used by 50 MHz and lower sample rates for supporting
1288 * simple pin change and state triggers. Only two transitions (rise/fall) can be
1289 * set at any time, but a full mask and value can be set (0/1).
1290 */
3ba56876 1291SR_PRIV int sigma_build_basic_trigger(struct triggerlut *lut, struct dev_context *devc)
c53d793f
HE
1292{
1293 int i,j;
4ae1f451 1294 uint16_t masks[2] = { 0, 0 };
c53d793f
HE
1295
1296 memset(lut, 0, sizeof(struct triggerlut));
1297
f3f19d11 1298 /* Constant for simple triggers. */
c53d793f
HE
1299 lut->m4 = 0xa000;
1300
1301 /* Value/mask trigger support. */
0e1357e8 1302 build_lut_entry(devc->trigger.simplevalue, devc->trigger.simplemask,
99965709 1303 lut->m2d);
c53d793f
HE
1304
1305 /* Rise/fall trigger support. */
0a1f7b09 1306 for (i = 0, j = 0; i < 16; i++) {
0e1357e8
BV
1307 if (devc->trigger.risingmask & (1 << i) ||
1308 devc->trigger.fallingmask & (1 << i))
c53d793f
HE
1309 masks[j++] = 1 << i;
1310 }
1311
1312 build_lut_entry(masks[0], masks[0], lut->m0d);
1313 build_lut_entry(masks[1], masks[1], lut->m1d);
1314
1315 /* Add glue logic */
1316 if (masks[0] || masks[1]) {
1317 /* Transition trigger. */
0e1357e8 1318 if (masks[0] & devc->trigger.risingmask)
c53d793f 1319 add_trigger_function(OP_RISE, FUNC_OR, 0, 0, &lut->m3);
0e1357e8 1320 if (masks[0] & devc->trigger.fallingmask)
c53d793f 1321 add_trigger_function(OP_FALL, FUNC_OR, 0, 0, &lut->m3);
0e1357e8 1322 if (masks[1] & devc->trigger.risingmask)
c53d793f 1323 add_trigger_function(OP_RISE, FUNC_OR, 1, 0, &lut->m3);
0e1357e8 1324 if (masks[1] & devc->trigger.fallingmask)
c53d793f
HE
1325 add_trigger_function(OP_FALL, FUNC_OR, 1, 0, &lut->m3);
1326 } else {
1327 /* Only value/mask trigger. */
1328 lut->m3 = 0xffff;
1329 }
ee492173 1330
c53d793f 1331 /* Triggertype: event. */
ee492173
HE
1332 lut->params.selres = 3;
1333
e46b8fb1 1334 return SR_OK;
ee492173 1335}