]> sigrok.org Git - libsigrok.git/blame - src/hardware/asix-sigma/protocol.c
asix-sigma: fix out-of-range access to the samplerates[] array
[libsigrok.git] / src / hardware / asix-sigma / protocol.c
CommitLineData
28a35d8a 1/*
50985c20 2 * This file is part of the libsigrok project.
28a35d8a 3 *
868501fa 4 * Copyright (C) 2010-2012 Håvard Espeland <gus@ping.uio.no>,
911f1834
UH
5 * Copyright (C) 2010 Martin Stensgård <mastensg@ping.uio.no>
6 * Copyright (C) 2010 Carl Henrik Lunde <chlunde@ping.uio.no>
28a35d8a
HE
7 *
8 * This program is free software: you can redistribute it and/or modify
9 * it under the terms of the GNU General Public License as published by
10 * the Free Software Foundation, either version 3 of the License, or
11 * (at your option) any later version.
12 *
13 * This program is distributed in the hope that it will be useful,
14 * but WITHOUT ANY WARRANTY; without even the implied warranty of
15 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16 * GNU General Public License for more details.
17 *
18 * You should have received a copy of the GNU General Public License
19 * along with this program. If not, see <http://www.gnu.org/licenses/>.
20 */
21
911f1834 22/*
6352d030 23 * ASIX SIGMA/SIGMA2 logic analyzer driver
911f1834
UH
24 */
25
6ec6c43b 26#include <config.h>
3ba56876 27#include "protocol.h"
28a35d8a
HE
28
29#define USB_VENDOR 0xa600
30#define USB_PRODUCT 0xa000
31#define USB_DESCRIPTION "ASIX SIGMA"
32#define USB_VENDOR_NAME "ASIX"
33#define USB_MODEL_NAME "SIGMA"
28a35d8a 34
b1648dea
MV
35/*
36 * The ASIX Sigma supports arbitrary integer frequency divider in
37 * the 50MHz mode. The divider is in range 1...256 , allowing for
38 * very precise sampling rate selection. This driver supports only
39 * a subset of the sampling rates.
40 */
3ba56876 41SR_PRIV const uint64_t samplerates[] = {
b1648dea
MV
42 SR_KHZ(200), /* div=250 */
43 SR_KHZ(250), /* div=200 */
44 SR_KHZ(500), /* div=100 */
45 SR_MHZ(1), /* div=50 */
46 SR_MHZ(5), /* div=10 */
47 SR_MHZ(10), /* div=5 */
48 SR_MHZ(25), /* div=2 */
49 SR_MHZ(50), /* div=1 */
50 SR_MHZ(100), /* Special FW needed */
51 SR_MHZ(200), /* Special FW needed */
28a35d8a
HE
52};
53
4154a516 54SR_PRIV const size_t samplerates_count = ARRAY_SIZE(samplerates);
39c64c6a 55
8e2d6c9d 56static const char sigma_firmware_files[][24] = {
499b17e9 57 /* 50 MHz, supports 8 bit fractions */
8e2d6c9d 58 "asix-sigma-50.fw",
499b17e9 59 /* 100 MHz */
8e2d6c9d 60 "asix-sigma-100.fw",
499b17e9 61 /* 200 MHz */
8e2d6c9d 62 "asix-sigma-200.fw",
499b17e9 63 /* Synchronous clock from pin */
8e2d6c9d 64 "asix-sigma-50sync.fw",
499b17e9 65 /* Frequency counter */
8e2d6c9d 66 "asix-sigma-phasor.fw",
f6564c8d
HE
67};
68
0e1357e8 69static int sigma_read(void *buf, size_t size, struct dev_context *devc)
28a35d8a
HE
70{
71 int ret;
fefa1800 72
0e1357e8 73 ret = ftdi_read_data(&devc->ftdic, (unsigned char *)buf, size);
28a35d8a 74 if (ret < 0) {
47f4f073 75 sr_err("ftdi_read_data failed: %s",
0e1357e8 76 ftdi_get_error_string(&devc->ftdic));
28a35d8a
HE
77 }
78
79 return ret;
80}
81
0e1357e8 82static int sigma_write(void *buf, size_t size, struct dev_context *devc)
28a35d8a
HE
83{
84 int ret;
fefa1800 85
0e1357e8 86 ret = ftdi_write_data(&devc->ftdic, (unsigned char *)buf, size);
28a35d8a 87 if (ret < 0) {
47f4f073 88 sr_err("ftdi_write_data failed: %s",
0e1357e8 89 ftdi_get_error_string(&devc->ftdic));
fefa1800 90 } else if ((size_t) ret != size) {
47f4f073 91 sr_err("ftdi_write_data did not complete write.");
28a35d8a
HE
92 }
93
94 return ret;
95}
96
e8686e3a
AG
97/*
98 * NOTE: We chose the buffer size to be large enough to hold any write to the
99 * device. We still print a message just in case.
100 */
3ba56876 101SR_PRIV int sigma_write_register(uint8_t reg, uint8_t *data, size_t len,
102 struct dev_context *devc)
28a35d8a
HE
103{
104 size_t i;
e8686e3a 105 uint8_t buf[80];
28a35d8a
HE
106 int idx = 0;
107
e8686e3a
AG
108 if ((len + 2) > sizeof(buf)) {
109 sr_err("Attempted to write %zu bytes, but buffer is too small.",
110 len + 2);
111 return SR_ERR_BUG;
112 }
113
28a35d8a
HE
114 buf[idx++] = REG_ADDR_LOW | (reg & 0xf);
115 buf[idx++] = REG_ADDR_HIGH | (reg >> 4);
116
0a1f7b09 117 for (i = 0; i < len; i++) {
28a35d8a
HE
118 buf[idx++] = REG_DATA_LOW | (data[i] & 0xf);
119 buf[idx++] = REG_DATA_HIGH_WRITE | (data[i] >> 4);
120 }
121
0e1357e8 122 return sigma_write(buf, idx, devc);
28a35d8a
HE
123}
124
3ba56876 125SR_PRIV int sigma_set_register(uint8_t reg, uint8_t value, struct dev_context *devc)
28a35d8a 126{
0e1357e8 127 return sigma_write_register(reg, &value, 1, devc);
28a35d8a
HE
128}
129
99965709 130static int sigma_read_register(uint8_t reg, uint8_t *data, size_t len,
0e1357e8 131 struct dev_context *devc)
28a35d8a
HE
132{
133 uint8_t buf[3];
fefa1800 134
28a35d8a
HE
135 buf[0] = REG_ADDR_LOW | (reg & 0xf);
136 buf[1] = REG_ADDR_HIGH | (reg >> 4);
28a35d8a
HE
137 buf[2] = REG_READ_ADDR;
138
0e1357e8 139 sigma_write(buf, sizeof(buf), devc);
28a35d8a 140
0e1357e8 141 return sigma_read(data, len, devc);
28a35d8a
HE
142}
143
0e1357e8 144static uint8_t sigma_get_register(uint8_t reg, struct dev_context *devc)
28a35d8a
HE
145{
146 uint8_t value;
fefa1800 147
0e1357e8 148 if (1 != sigma_read_register(reg, &value, 1, devc)) {
47f4f073 149 sr_err("sigma_get_register: 1 byte expected");
28a35d8a
HE
150 return 0;
151 }
152
153 return value;
154}
155
99965709 156static int sigma_read_pos(uint32_t *stoppos, uint32_t *triggerpos,
0e1357e8 157 struct dev_context *devc)
28a35d8a
HE
158{
159 uint8_t buf[] = {
160 REG_ADDR_LOW | READ_TRIGGER_POS_LOW,
161
162 REG_READ_ADDR | NEXT_REG,
163 REG_READ_ADDR | NEXT_REG,
164 REG_READ_ADDR | NEXT_REG,
165 REG_READ_ADDR | NEXT_REG,
166 REG_READ_ADDR | NEXT_REG,
167 REG_READ_ADDR | NEXT_REG,
168 };
28a35d8a
HE
169 uint8_t result[6];
170
0e1357e8 171 sigma_write(buf, sizeof(buf), devc);
28a35d8a 172
0e1357e8 173 sigma_read(result, sizeof(result), devc);
28a35d8a
HE
174
175 *triggerpos = result[0] | (result[1] << 8) | (result[2] << 16);
176 *stoppos = result[3] | (result[4] << 8) | (result[5] << 16);
177
57bbf56b
HE
178 /* Not really sure why this must be done, but according to spec. */
179 if ((--*stoppos & 0x1ff) == 0x1ff)
382cb19f 180 *stoppos -= 64;
57bbf56b
HE
181
182 if ((*--triggerpos & 0x1ff) == 0x1ff)
382cb19f 183 *triggerpos -= 64;
57bbf56b 184
28a35d8a
HE
185 return 1;
186}
187
99965709 188static int sigma_read_dram(uint16_t startchunk, size_t numchunks,
0e1357e8 189 uint8_t *data, struct dev_context *devc)
28a35d8a
HE
190{
191 size_t i;
192 uint8_t buf[4096];
193 int idx = 0;
194
fefa1800 195 /* Send the startchunk. Index start with 1. */
28a35d8a
HE
196 buf[0] = startchunk >> 8;
197 buf[1] = startchunk & 0xff;
0e1357e8 198 sigma_write_register(WRITE_MEMROW, buf, 2, devc);
28a35d8a 199
fefa1800 200 /* Read the DRAM. */
28a35d8a
HE
201 buf[idx++] = REG_DRAM_BLOCK;
202 buf[idx++] = REG_DRAM_WAIT_ACK;
203
0a1f7b09 204 for (i = 0; i < numchunks; i++) {
fefa1800
UH
205 /* Alternate bit to copy from DRAM to cache. */
206 if (i != (numchunks - 1))
207 buf[idx++] = REG_DRAM_BLOCK | (((i + 1) % 2) << 4);
28a35d8a
HE
208
209 buf[idx++] = REG_DRAM_BLOCK_DATA | ((i % 2) << 4);
210
fefa1800 211 if (i != (numchunks - 1))
28a35d8a
HE
212 buf[idx++] = REG_DRAM_WAIT_ACK;
213 }
214
0e1357e8 215 sigma_write(buf, idx, devc);
28a35d8a 216
0e1357e8 217 return sigma_read(data, numchunks * CHUNK_SIZE, devc);
28a35d8a
HE
218}
219
4ae1f451 220/* Upload trigger look-up tables to Sigma. */
3ba56876 221SR_PRIV int sigma_write_trigger_lut(struct triggerlut *lut, struct dev_context *devc)
ee492173
HE
222{
223 int i;
224 uint8_t tmp[2];
225 uint16_t bit;
226
227 /* Transpose the table and send to Sigma. */
0a1f7b09 228 for (i = 0; i < 16; i++) {
ee492173
HE
229 bit = 1 << i;
230
231 tmp[0] = tmp[1] = 0;
232
233 if (lut->m2d[0] & bit)
234 tmp[0] |= 0x01;
235 if (lut->m2d[1] & bit)
236 tmp[0] |= 0x02;
237 if (lut->m2d[2] & bit)
238 tmp[0] |= 0x04;
239 if (lut->m2d[3] & bit)
240 tmp[0] |= 0x08;
241
242 if (lut->m3 & bit)
243 tmp[0] |= 0x10;
244 if (lut->m3s & bit)
245 tmp[0] |= 0x20;
246 if (lut->m4 & bit)
247 tmp[0] |= 0x40;
248
249 if (lut->m0d[0] & bit)
250 tmp[1] |= 0x01;
251 if (lut->m0d[1] & bit)
252 tmp[1] |= 0x02;
253 if (lut->m0d[2] & bit)
254 tmp[1] |= 0x04;
255 if (lut->m0d[3] & bit)
256 tmp[1] |= 0x08;
257
258 if (lut->m1d[0] & bit)
259 tmp[1] |= 0x10;
260 if (lut->m1d[1] & bit)
261 tmp[1] |= 0x20;
262 if (lut->m1d[2] & bit)
263 tmp[1] |= 0x40;
264 if (lut->m1d[3] & bit)
265 tmp[1] |= 0x80;
266
99965709 267 sigma_write_register(WRITE_TRIGGER_SELECT0, tmp, sizeof(tmp),
0e1357e8
BV
268 devc);
269 sigma_set_register(WRITE_TRIGGER_SELECT1, 0x30 | i, devc);
ee492173
HE
270 }
271
272 /* Send the parameters */
273 sigma_write_register(WRITE_TRIGGER_SELECT0, (uint8_t *) &lut->params,
0e1357e8 274 sizeof(lut->params), devc);
ee492173 275
e46b8fb1 276 return SR_OK;
ee492173
HE
277}
278
3ba56876 279SR_PRIV void sigma_clear_helper(void *priv)
0448d110 280{
0e1357e8 281 struct dev_context *devc;
ce4d26dd 282
3678cf73 283 devc = priv;
0e1357e8 284
3678cf73
UH
285 ftdi_deinit(&devc->ftdic);
286}
0448d110 287
d5fa188a
MV
288/*
289 * Configure the FPGA for bitbang mode.
290 * This sequence is documented in section 2. of the ASIX Sigma programming
291 * manual. This sequence is necessary to configure the FPGA in the Sigma
292 * into Bitbang mode, in which it can be programmed with the firmware.
293 */
294static int sigma_fpga_init_bitbang(struct dev_context *devc)
295{
296 uint8_t suicide[] = {
297 0x84, 0x84, 0x88, 0x84, 0x88, 0x84, 0x88, 0x84,
298 };
299 uint8_t init_array[] = {
300 0x01, 0x03, 0x03, 0x01, 0x01, 0x01, 0x01, 0x01,
301 0x01, 0x01,
302 };
1a46cc62 303 int i, ret, timeout = (10 * 1000);
d5fa188a
MV
304 uint8_t data;
305
306 /* Section 2. part 1), do the FPGA suicide. */
307 sigma_write(suicide, sizeof(suicide), devc);
308 sigma_write(suicide, sizeof(suicide), devc);
309 sigma_write(suicide, sizeof(suicide), devc);
310 sigma_write(suicide, sizeof(suicide), devc);
311
312 /* Section 2. part 2), do pulse on D1. */
313 sigma_write(init_array, sizeof(init_array), devc);
314 ftdi_usb_purge_buffers(&devc->ftdic);
315
316 /* Wait until the FPGA asserts D6/INIT_B. */
317 for (i = 0; i < timeout; i++) {
318 ret = sigma_read(&data, 1, devc);
319 if (ret < 0)
320 return ret;
321 /* Test if pin D6 got asserted. */
322 if (data & (1 << 5))
323 return 0;
324 /* The D6 was not asserted yet, wait a bit. */
1a46cc62 325 g_usleep(10 * 1000);
d5fa188a
MV
326 }
327
328 return SR_ERR_TIMEOUT;
329}
330
64fe661b
MV
331/*
332 * Configure the FPGA for logic-analyzer mode.
333 */
334static int sigma_fpga_init_la(struct dev_context *devc)
335{
336 /* Initialize the logic analyzer mode. */
337 uint8_t logic_mode_start[] = {
011f1091
MV
338 REG_ADDR_LOW | (READ_ID & 0xf),
339 REG_ADDR_HIGH | (READ_ID >> 8),
340 REG_READ_ADDR, /* Read ID register. */
341
342 REG_ADDR_LOW | (WRITE_TEST & 0xf),
343 REG_DATA_LOW | 0x5,
344 REG_DATA_HIGH_WRITE | 0x5,
345 REG_READ_ADDR, /* Read scratch register. */
346
347 REG_DATA_LOW | 0xa,
348 REG_DATA_HIGH_WRITE | 0xa,
349 REG_READ_ADDR, /* Read scratch register. */
350
351 REG_ADDR_LOW | (WRITE_MODE & 0xf),
352 REG_DATA_LOW | 0x0,
353 REG_DATA_HIGH_WRITE | 0x8,
64fe661b
MV
354 };
355
356 uint8_t result[3];
357 int ret;
358
359 /* Initialize the logic analyzer mode. */
360 sigma_write(logic_mode_start, sizeof(logic_mode_start), devc);
361
011f1091 362 /* Expect a 3 byte reply since we issued three READ requests. */
64fe661b
MV
363 ret = sigma_read(result, 3, devc);
364 if (ret != 3)
365 goto err;
366
367 if (result[0] != 0xa6 || result[1] != 0x55 || result[2] != 0xaa)
368 goto err;
369
370 return SR_OK;
371err:
372 sr_err("Configuration failed. Invalid reply received.");
373 return SR_ERR;
374}
375
a80226bb
MV
376/*
377 * Read the firmware from a file and transform it into a series of bitbang
378 * pulses used to program the FPGA. Note that the *bb_cmd must be free()'d
379 * by the caller of this function.
380 */
8e2d6c9d 381static int sigma_fw_2_bitbang(struct sr_context *ctx, const char *name,
a80226bb
MV
382 uint8_t **bb_cmd, gsize *bb_cmd_size)
383{
8e2d6c9d
DE
384 size_t i, file_size, bb_size;
385 char *firmware;
a80226bb
MV
386 uint8_t *bb_stream, *bbs;
387 uint32_t imm;
388 int bit, v;
389 int ret = SR_OK;
390
387825dc 391 /* Retrieve the on-disk firmware file content. */
8e2d6c9d
DE
392 firmware = sr_resource_load(ctx, SR_RESOURCE_FIRMWARE,
393 name, &file_size, 256 * 1024);
394 if (!firmware)
395 return SR_ERR;
a80226bb 396
387825dc 397 /* Unscramble the file content (XOR with "random" sequence). */
a80226bb
MV
398 imm = 0x3f6df2ab;
399 for (i = 0; i < file_size; i++) {
400 imm = (imm + 0xa853753) % 177 + (imm * 0x8034052);
401 firmware[i] ^= imm & 0xff;
402 }
403
404 /*
387825dc
GS
405 * Generate a sequence of bitbang samples. With two samples per
406 * FPGA configuration bit, providing the level for the DIN signal
407 * as well as two edges for CCLK. See Xilinx UG332 for details
408 * ("slave serial" mode).
409 *
410 * Note that CCLK is inverted in hardware. That's why the
411 * respective bit is first set and then cleared in the bitbang
412 * sample sets. So that the DIN level will be stable when the
413 * data gets sampled at the rising CCLK edge, and the signals'
414 * setup time constraint will be met.
415 *
416 * The caller will put the FPGA into download mode, will send
417 * the bitbang samples, and release the allocated memory.
a80226bb 418 */
a80226bb
MV
419 bb_size = file_size * 8 * 2;
420 bb_stream = (uint8_t *)g_try_malloc(bb_size);
421 if (!bb_stream) {
422 sr_err("%s: Failed to allocate bitbang stream", __func__);
423 ret = SR_ERR_MALLOC;
424 goto exit;
425 }
a80226bb
MV
426 bbs = bb_stream;
427 for (i = 0; i < file_size; i++) {
428 for (bit = 7; bit >= 0; bit--) {
429 v = (firmware[i] & (1 << bit)) ? 0x40 : 0x00;
430 *bbs++ = v | 0x01;
431 *bbs++ = v;
432 }
433 }
434
435 /* The transformation completed successfully, return the result. */
436 *bb_cmd = bb_stream;
437 *bb_cmd_size = bb_size;
438
439exit:
8e2d6c9d 440 g_free(firmware);
a80226bb
MV
441 return ret;
442}
443
8e2d6c9d
DE
444static int upload_firmware(struct sr_context *ctx,
445 int firmware_idx, struct dev_context *devc)
28a35d8a
HE
446{
447 int ret;
448 unsigned char *buf;
449 unsigned char pins;
450 size_t buf_size;
499b17e9 451 const char *firmware = sigma_firmware_files[firmware_idx];
8bbf7627 452 struct ftdi_context *ftdic = &devc->ftdic;
28a35d8a 453
fefa1800 454 /* Make sure it's an ASIX SIGMA. */
8bbf7627
MV
455 ret = ftdi_usb_open_desc(ftdic, USB_VENDOR, USB_PRODUCT,
456 USB_DESCRIPTION, NULL);
457 if (ret < 0) {
47f4f073 458 sr_err("ftdi_usb_open failed: %s",
8bbf7627 459 ftdi_get_error_string(ftdic));
28a35d8a
HE
460 return 0;
461 }
462
8bbf7627
MV
463 ret = ftdi_set_bitmode(ftdic, 0xdf, BITMODE_BITBANG);
464 if (ret < 0) {
47f4f073 465 sr_err("ftdi_set_bitmode failed: %s",
8bbf7627 466 ftdi_get_error_string(ftdic));
28a35d8a
HE
467 return 0;
468 }
469
fefa1800 470 /* Four times the speed of sigmalogan - Works well. */
1a46cc62 471 ret = ftdi_set_baudrate(ftdic, 750 * 1000);
8bbf7627 472 if (ret < 0) {
47f4f073 473 sr_err("ftdi_set_baudrate failed: %s",
8bbf7627 474 ftdi_get_error_string(ftdic));
28a35d8a
HE
475 return 0;
476 }
477
d5fa188a
MV
478 /* Initialize the FPGA for firmware upload. */
479 ret = sigma_fpga_init_bitbang(devc);
480 if (ret)
481 return ret;
28a35d8a 482
9ddb2a12 483 /* Prepare firmware. */
8e2d6c9d 484 ret = sigma_fw_2_bitbang(ctx, firmware, &buf, &buf_size);
8bbf7627 485 if (ret != SR_OK) {
f3f19d11 486 sr_err("An error occurred while reading the firmware: %s",
499b17e9 487 firmware);
b53738ba 488 return ret;
28a35d8a
HE
489 }
490
f3f19d11 491 /* Upload firmware. */
499b17e9 492 sr_info("Uploading firmware file '%s'.", firmware);
0e1357e8 493 sigma_write(buf, buf_size, devc);
28a35d8a
HE
494
495 g_free(buf);
496
8bbf7627
MV
497 ret = ftdi_set_bitmode(ftdic, 0x00, BITMODE_RESET);
498 if (ret < 0) {
47f4f073 499 sr_err("ftdi_set_bitmode failed: %s",
8bbf7627 500 ftdi_get_error_string(ftdic));
e46b8fb1 501 return SR_ERR;
28a35d8a
HE
502 }
503
8bbf7627 504 ftdi_usb_purge_buffers(ftdic);
28a35d8a 505
fefa1800 506 /* Discard garbage. */
29b66a2e 507 while (sigma_read(&pins, 1, devc) == 1)
28a35d8a
HE
508 ;
509
64fe661b
MV
510 /* Initialize the FPGA for logic-analyzer mode. */
511 ret = sigma_fpga_init_la(devc);
512 if (ret != SR_OK)
513 return ret;
28a35d8a 514
0e1357e8 515 devc->cur_firmware = firmware_idx;
f6564c8d 516
47f4f073 517 sr_info("Firmware uploaded.");
e3fff420 518
e46b8fb1 519 return SR_OK;
f6564c8d
HE
520}
521
3ba56876 522SR_PRIV int sigma_set_samplerate(const struct sr_dev_inst *sdi, uint64_t samplerate)
f6564c8d 523{
2c9c0df8 524 struct dev_context *devc;
8e2d6c9d 525 struct drv_context *drvc;
4154a516 526 size_t i;
2c9c0df8 527 int ret;
f6564c8d 528
2c9c0df8 529 devc = sdi->priv;
8e2d6c9d 530 drvc = sdi->driver->context;
f4abaa9f
UH
531 ret = SR_OK;
532
4154a516 533 for (i = 0; i < samplerates_count; i++) {
2c9c0df8 534 if (samplerates[i] == samplerate)
f6564c8d
HE
535 break;
536 }
4154a516 537 if (i >= samplerates_count || samplerates[i] == 0)
e46b8fb1 538 return SR_ERR_SAMPLERATE;
f6564c8d 539
59df0c77 540 if (samplerate <= SR_MHZ(50)) {
8e2d6c9d 541 ret = upload_firmware(drvc->sr_ctx, 0, devc);
ba7dd8bb 542 devc->num_channels = 16;
6b2d3385 543 } else if (samplerate == SR_MHZ(100)) {
8e2d6c9d 544 ret = upload_firmware(drvc->sr_ctx, 1, devc);
ba7dd8bb 545 devc->num_channels = 8;
6b2d3385 546 } else if (samplerate == SR_MHZ(200)) {
8e2d6c9d 547 ret = upload_firmware(drvc->sr_ctx, 2, devc);
ba7dd8bb 548 devc->num_channels = 4;
f78898e9 549 }
f6564c8d 550
6b2d3385
BV
551 if (ret == SR_OK) {
552 devc->cur_samplerate = samplerate;
553 devc->period_ps = 1000000000000ULL / samplerate;
554 devc->samples_per_event = 16 / devc->num_channels;
555 devc->state.state = SIGMA_IDLE;
556 }
f6564c8d 557
e8397563 558 return ret;
28a35d8a
HE
559}
560
c53d793f
HE
561/*
562 * In 100 and 200 MHz mode, only a single pin rising/falling can be
563 * set as trigger. In other modes, two rising/falling triggers can be set,
ba7dd8bb 564 * in addition to value/mask trigger for any number of channels.
c53d793f
HE
565 *
566 * The Sigma supports complex triggers using boolean expressions, but this
567 * has not been implemented yet.
568 */
3ba56876 569SR_PRIV int sigma_convert_trigger(const struct sr_dev_inst *sdi)
57bbf56b 570{
39c64c6a
BV
571 struct dev_context *devc;
572 struct sr_trigger *trigger;
573 struct sr_trigger_stage *stage;
574 struct sr_trigger_match *match;
575 const GSList *l, *m;
576 int channelbit, trigger_set;
57bbf56b 577
39c64c6a 578 devc = sdi->priv;
0e1357e8 579 memset(&devc->trigger, 0, sizeof(struct sigma_trigger));
0812c40e 580 if (!(trigger = sr_session_trigger_get(sdi->session)))
39c64c6a
BV
581 return SR_OK;
582
583 trigger_set = 0;
584 for (l = trigger->stages; l; l = l->next) {
585 stage = l->data;
586 for (m = stage->matches; m; m = m->next) {
587 match = m->data;
588 if (!match->channel->enabled)
589 /* Ignore disabled channels with a trigger. */
590 continue;
591 channelbit = 1 << (match->channel->index);
592 if (devc->cur_samplerate >= SR_MHZ(100)) {
593 /* Fast trigger support. */
594 if (trigger_set) {
595 sr_err("Only a single pin trigger is "
596 "supported in 100 and 200MHz mode.");
597 return SR_ERR;
598 }
599 if (match->match == SR_TRIGGER_FALLING)
600 devc->trigger.fallingmask |= channelbit;
601 else if (match->match == SR_TRIGGER_RISING)
602 devc->trigger.risingmask |= channelbit;
603 else {
604 sr_err("Only rising/falling trigger is "
605 "supported in 100 and 200MHz mode.");
606 return SR_ERR;
607 }
eec5275e 608
0a1f7b09 609 trigger_set++;
39c64c6a
BV
610 } else {
611 /* Simple trigger support (event). */
612 if (match->match == SR_TRIGGER_ONE) {
613 devc->trigger.simplevalue |= channelbit;
614 devc->trigger.simplemask |= channelbit;
615 }
616 else if (match->match == SR_TRIGGER_ZERO) {
617 devc->trigger.simplevalue &= ~channelbit;
618 devc->trigger.simplemask |= channelbit;
619 }
620 else if (match->match == SR_TRIGGER_FALLING) {
621 devc->trigger.fallingmask |= channelbit;
0a1f7b09 622 trigger_set++;
39c64c6a
BV
623 }
624 else if (match->match == SR_TRIGGER_RISING) {
625 devc->trigger.risingmask |= channelbit;
0a1f7b09 626 trigger_set++;
39c64c6a
BV
627 }
628
629 /*
630 * Actually, Sigma supports 2 rising/falling triggers,
631 * but they are ORed and the current trigger syntax
632 * does not permit ORed triggers.
633 */
634 if (trigger_set > 1) {
635 sr_err("Only 1 rising/falling trigger "
636 "is supported.");
637 return SR_ERR;
638 }
ee492173 639 }
ee492173 640 }
57bbf56b
HE
641 }
642
e46b8fb1 643 return SR_OK;
57bbf56b
HE
644}
645
a1c743fc 646
36b1c8e6 647/* Software trigger to determine exact trigger position. */
5fc01191 648static int get_trigger_offset(uint8_t *samples, uint16_t last_sample,
36b1c8e6
HE
649 struct sigma_trigger *t)
650{
651 int i;
5fc01191 652 uint16_t sample = 0;
36b1c8e6 653
0a1f7b09 654 for (i = 0; i < 8; i++) {
36b1c8e6 655 if (i > 0)
5fc01191
MV
656 last_sample = sample;
657 sample = samples[2 * i] | (samples[2 * i + 1] << 8);
36b1c8e6
HE
658
659 /* Simple triggers. */
5fc01191 660 if ((sample & t->simplemask) != t->simplevalue)
36b1c8e6
HE
661 continue;
662
663 /* Rising edge. */
5fc01191
MV
664 if (((last_sample & t->risingmask) != 0) ||
665 ((sample & t->risingmask) != t->risingmask))
36b1c8e6
HE
666 continue;
667
668 /* Falling edge. */
bdfc7a89 669 if ((last_sample & t->fallingmask) != t->fallingmask ||
5fc01191 670 (sample & t->fallingmask) != 0)
36b1c8e6
HE
671 continue;
672
673 break;
674 }
675
676 /* If we did not match, return original trigger pos. */
677 return i & 0x7;
678}
679
3513d965
MV
680/*
681 * Return the timestamp of "DRAM cluster".
682 */
683static uint16_t sigma_dram_cluster_ts(struct sigma_dram_cluster *cluster)
684{
685 return (cluster->timestamp_hi << 8) | cluster->timestamp_lo;
686}
687
23239b5c
MV
688static void sigma_decode_dram_cluster(struct sigma_dram_cluster *dram_cluster,
689 unsigned int events_in_cluster,
1e23158b 690 unsigned int triggered,
23239b5c
MV
691 struct sr_dev_inst *sdi)
692{
693 struct dev_context *devc = sdi->priv;
694 struct sigma_state *ss = &devc->state;
695 struct sr_datafeed_packet packet;
696 struct sr_datafeed_logic logic;
697 uint16_t tsdiff, ts;
698 uint8_t samples[2048];
699 unsigned int i;
700
23239b5c
MV
701 ts = sigma_dram_cluster_ts(dram_cluster);
702 tsdiff = ts - ss->lastts;
703 ss->lastts = ts;
704
705 packet.type = SR_DF_LOGIC;
706 packet.payload = &logic;
707 logic.unitsize = 2;
708 logic.data = samples;
709
710 /*
711 * First of all, send Sigrok a copy of the last sample from
712 * previous cluster as many times as needed to make up for
713 * the differential characteristics of data we get from the
714 * Sigma. Sigrok needs one sample of data per period.
715 *
716 * One DRAM cluster contains a timestamp and seven samples,
717 * the units of timestamp are "devc->period_ps" , the first
718 * sample in the cluster happens at the time of the timestamp
719 * and the remaining samples happen at timestamp +1...+6 .
720 */
721 for (ts = 0; ts < tsdiff - (EVENTS_PER_CLUSTER - 1); ts++) {
722 i = ts % 1024;
723 samples[2 * i + 0] = ss->lastsample & 0xff;
724 samples[2 * i + 1] = ss->lastsample >> 8;
725
726 /*
727 * If we have 1024 samples ready or we're at the
728 * end of submitting the padding samples, submit
729 * the packet to Sigrok.
730 */
731 if ((i == 1023) || (ts == (tsdiff - EVENTS_PER_CLUSTER))) {
732 logic.length = (i + 1) * logic.unitsize;
102f1239 733 sr_session_send(sdi, &packet);
23239b5c
MV
734 }
735 }
736
737 /*
738 * Parse the samples in current cluster and prepare them
739 * to be submitted to Sigrok.
740 */
741 for (i = 0; i < events_in_cluster; i++) {
742 samples[2 * i + 1] = dram_cluster->samples[i].sample_lo;
743 samples[2 * i + 0] = dram_cluster->samples[i].sample_hi;
744 }
745
746 /* Send data up to trigger point (if triggered). */
747 int trigger_offset = 0;
1e23158b 748 if (triggered) {
23239b5c
MV
749 /*
750 * Trigger is not always accurate to sample because of
751 * pipeline delay. However, it always triggers before
752 * the actual event. We therefore look at the next
753 * samples to pinpoint the exact position of the trigger.
754 */
755 trigger_offset = get_trigger_offset(samples,
756 ss->lastsample, &devc->trigger);
757
758 if (trigger_offset > 0) {
759 packet.type = SR_DF_LOGIC;
760 logic.length = trigger_offset * logic.unitsize;
102f1239 761 sr_session_send(sdi, &packet);
23239b5c
MV
762 events_in_cluster -= trigger_offset;
763 }
764
765 /* Only send trigger if explicitly enabled. */
766 if (devc->use_triggers) {
767 packet.type = SR_DF_TRIGGER;
102f1239 768 sr_session_send(sdi, &packet);
23239b5c
MV
769 }
770 }
771
772 if (events_in_cluster > 0) {
773 packet.type = SR_DF_LOGIC;
774 logic.length = events_in_cluster * logic.unitsize;
775 logic.data = samples + (trigger_offset * logic.unitsize);
102f1239 776 sr_session_send(sdi, &packet);
23239b5c
MV
777 }
778
779 ss->lastsample =
780 samples[2 * (events_in_cluster - 1) + 0] |
781 (samples[2 * (events_in_cluster - 1) + 1] << 8);
782
783}
784
28a35d8a 785/*
fefa1800
UH
786 * Decode chunk of 1024 bytes, 64 clusters, 7 events per cluster.
787 * Each event is 20ns apart, and can contain multiple samples.
f78898e9
HE
788 *
789 * For 200 MHz, events contain 4 samples for each channel, spread 5 ns apart.
790 * For 100 MHz, events contain 2 samples for each channel, spread 10 ns apart.
791 * For 50 MHz and below, events contain one sample for each channel,
792 * spread 20 ns apart.
28a35d8a 793 */
1e23158b
MV
794static int decode_chunk_ts(struct sigma_dram_line *dram_line,
795 uint16_t events_in_line,
796 uint32_t trigger_event,
102f1239 797 struct sr_dev_inst *sdi)
28a35d8a 798{
3628074d 799 struct sigma_dram_cluster *dram_cluster;
0e1357e8 800 struct dev_context *devc = sdi->priv;
5fc01191
MV
801 unsigned int clusters_in_line =
802 (events_in_line + (EVENTS_PER_CLUSTER - 1)) / EVENTS_PER_CLUSTER;
803 unsigned int events_in_cluster;
23239b5c 804 unsigned int i;
1e23158b 805 uint32_t trigger_cluster = ~0, triggered = 0;
ee492173 806
4ae1f451 807 /* Check if trigger is in this chunk. */
1e23158b
MV
808 if (trigger_event < (64 * 7)) {
809 if (devc->cur_samplerate <= SR_MHZ(50)) {
810 trigger_event -= MIN(EVENTS_PER_CLUSTER - 1,
811 trigger_event);
812 }
57bbf56b 813
f3f19d11 814 /* Find in which cluster the trigger occurred. */
1e23158b 815 trigger_cluster = trigger_event / EVENTS_PER_CLUSTER;
ee492173 816 }
28a35d8a 817
5fc01191
MV
818 /* For each full DRAM cluster. */
819 for (i = 0; i < clusters_in_line; i++) {
3628074d 820 dram_cluster = &dram_line->cluster[i];
5fc01191 821
5fc01191 822 /* The last cluster might not be full. */
23239b5c
MV
823 if ((i == clusters_in_line - 1) &&
824 (events_in_line % EVENTS_PER_CLUSTER)) {
5fc01191 825 events_in_cluster = events_in_line % EVENTS_PER_CLUSTER;
23239b5c 826 } else {
5fc01191 827 events_in_cluster = EVENTS_PER_CLUSTER;
abda62ce 828 }
ee492173 829
1e23158b
MV
830 triggered = (i == trigger_cluster);
831 sigma_decode_dram_cluster(dram_cluster, events_in_cluster,
832 triggered, sdi);
28a35d8a
HE
833 }
834
e46b8fb1 835 return SR_OK;
28a35d8a
HE
836}
837
6057d9fa 838static int download_capture(struct sr_dev_inst *sdi)
28a35d8a 839{
6057d9fa 840 struct dev_context *devc = sdi->priv;
e15e5873 841 const uint32_t chunks_per_read = 32;
fd830beb 842 struct sigma_dram_line *dram_line;
c6648b66 843 int bufsz;
462fe786 844 uint32_t stoppos, triggerpos;
6057d9fa
MV
845 uint8_t modestatus;
846
c6648b66
MV
847 uint32_t i;
848 uint32_t dl_lines_total, dl_lines_curr, dl_lines_done;
46641fac 849 uint32_t dl_events_in_line = 64 * 7;
1e23158b 850 uint32_t trg_line = ~0, trg_event = ~0;
c6648b66 851
fd830beb
MV
852 dram_line = g_try_malloc0(chunks_per_read * sizeof(*dram_line));
853 if (!dram_line)
854 return FALSE;
855
6868626b
BV
856 sr_info("Downloading sample data.");
857
6057d9fa
MV
858 /* Stop acquisition. */
859 sigma_set_register(WRITE_MODE, 0x11, devc);
860
861 /* Set SDRAM Read Enable. */
862 sigma_set_register(WRITE_MODE, 0x02, devc);
863
864 /* Get the current position. */
462fe786 865 sigma_read_pos(&stoppos, &triggerpos, devc);
6057d9fa
MV
866
867 /* Check if trigger has fired. */
868 modestatus = sigma_get_register(READ_MODE, devc);
1e23158b 869 if (modestatus & 0x20) {
c6648b66 870 trg_line = triggerpos >> 9;
1e23158b
MV
871 trg_event = triggerpos & 0x1ff;
872 }
6057d9fa 873
c6648b66
MV
874 /*
875 * Determine how many 1024b "DRAM lines" do we need to read from the
876 * Sigma so we have a complete set of samples. Note that the last
877 * line can be only partial, containing less than 64 clusters.
878 */
879 dl_lines_total = (stoppos >> 9) + 1;
6868626b 880
c6648b66 881 dl_lines_done = 0;
6868626b 882
c6648b66
MV
883 while (dl_lines_total > dl_lines_done) {
884 /* We can download only up-to 32 DRAM lines in one go! */
885 dl_lines_curr = MIN(chunks_per_read, dl_lines_total);
6868626b 886
f41a4cae
MV
887 bufsz = sigma_read_dram(dl_lines_done, dl_lines_curr,
888 (uint8_t *)dram_line, devc);
c6648b66
MV
889 /* TODO: Check bufsz. For now, just avoid compiler warnings. */
890 (void)bufsz;
6868626b 891
c6648b66
MV
892 /* This is the first DRAM line, so find the initial timestamp. */
893 if (dl_lines_done == 0) {
3513d965
MV
894 devc->state.lastts =
895 sigma_dram_cluster_ts(&dram_line[0].cluster[0]);
c6648b66 896 devc->state.lastsample = 0;
6868626b
BV
897 }
898
c6648b66 899 for (i = 0; i < dl_lines_curr; i++) {
1e23158b 900 uint32_t trigger_event = ~0;
c6648b66
MV
901 /* The last "DRAM line" can be only partially full. */
902 if (dl_lines_done + i == dl_lines_total - 1)
46641fac 903 dl_events_in_line = stoppos & 0x1ff;
c6648b66 904
e69ad48e 905 /* Test if the trigger happened on this line. */
c6648b66 906 if (dl_lines_done + i == trg_line)
1e23158b 907 trigger_event = trg_event;
e69ad48e 908
1e23158b
MV
909 decode_chunk_ts(dram_line + i, dl_events_in_line,
910 trigger_event, sdi);
c6648b66 911 }
6868626b 912
c6648b66 913 dl_lines_done += dl_lines_curr;
6868626b
BV
914 }
915
bee2b016 916 std_session_send_df_end(sdi);
6057d9fa 917
695dc859 918 sdi->driver->dev_acquisition_stop(sdi);
6057d9fa 919
fd830beb
MV
920 g_free(dram_line);
921
6057d9fa 922 return TRUE;
6868626b
BV
923}
924
d4051930
MV
925/*
926 * Handle the Sigma when in CAPTURE mode. This function checks:
927 * - Sampling time ended
928 * - DRAM capacity overflow
929 * This function triggers download of the samples from Sigma
930 * in case either of the above conditions is true.
931 */
932static int sigma_capture_mode(struct sr_dev_inst *sdi)
6868626b 933{
d4051930
MV
934 struct dev_context *devc = sdi->priv;
935
94ba4bd6 936 uint64_t running_msec;
28a35d8a 937 struct timeval tv;
28a35d8a 938
00c86508 939 uint32_t stoppos, triggerpos;
28a35d8a 940
00c86508 941 /* Check if the selected sampling duration passed. */
d4051930
MV
942 gettimeofday(&tv, 0);
943 running_msec = (tv.tv_sec - devc->start_tv.tv_sec) * 1000 +
00c86508
MV
944 (tv.tv_usec - devc->start_tv.tv_usec) / 1000;
945 if (running_msec >= devc->limit_msec)
6057d9fa 946 return download_capture(sdi);
00c86508
MV
947
948 /* Get the position in DRAM to which the FPGA is writing now. */
949 sigma_read_pos(&stoppos, &triggerpos, devc);
950 /* Test if DRAM is full and if so, download the data. */
951 if ((stoppos >> 9) == 32767)
6057d9fa 952 return download_capture(sdi);
28a35d8a 953
d4051930
MV
954 return TRUE;
955}
28a35d8a 956
3ba56876 957SR_PRIV int sigma_receive_data(int fd, int revents, void *cb_data)
d4051930
MV
958{
959 struct sr_dev_inst *sdi;
960 struct dev_context *devc;
88c51afe 961
d4051930
MV
962 (void)fd;
963 (void)revents;
88c51afe 964
d4051930
MV
965 sdi = cb_data;
966 devc = sdi->priv;
967
968 if (devc->state.state == SIGMA_IDLE)
969 return TRUE;
970
971 if (devc->state.state == SIGMA_CAPTURE)
972 return sigma_capture_mode(sdi);
28a35d8a 973
28a35d8a
HE
974 return TRUE;
975}
976
c53d793f
HE
977/* Build a LUT entry used by the trigger functions. */
978static void build_lut_entry(uint16_t value, uint16_t mask, uint16_t *entry)
ee492173
HE
979{
980 int i, j, k, bit;
981
ba7dd8bb 982 /* For each quad channel. */
0a1f7b09 983 for (i = 0; i < 4; i++) {
c53d793f 984 entry[i] = 0xffff;
ee492173 985
f758d074 986 /* For each bit in LUT. */
0a1f7b09 987 for (j = 0; j < 16; j++)
ee492173 988
ba7dd8bb 989 /* For each channel in quad. */
0a1f7b09 990 for (k = 0; k < 4; k++) {
ee492173
HE
991 bit = 1 << (i * 4 + k);
992
c53d793f 993 /* Set bit in entry */
0a1f7b09
UH
994 if ((mask & bit) && ((!(value & bit)) !=
995 (!(j & (1 << k)))))
c53d793f 996 entry[i] &= ~(1 << j);
ee492173
HE
997 }
998 }
c53d793f 999}
ee492173 1000
c53d793f
HE
1001/* Add a logical function to LUT mask. */
1002static void add_trigger_function(enum triggerop oper, enum triggerfunc func,
1003 int index, int neg, uint16_t *mask)
1004{
1005 int i, j;
1006 int x[2][2], tmp, a, b, aset, bset, rset;
1007
1008 memset(x, 0, 4 * sizeof(int));
1009
1010 /* Trigger detect condition. */
1011 switch (oper) {
1012 case OP_LEVEL:
1013 x[0][1] = 1;
1014 x[1][1] = 1;
1015 break;
1016 case OP_NOT:
1017 x[0][0] = 1;
1018 x[1][0] = 1;
1019 break;
1020 case OP_RISE:
1021 x[0][1] = 1;
1022 break;
1023 case OP_FALL:
1024 x[1][0] = 1;
1025 break;
1026 case OP_RISEFALL:
1027 x[0][1] = 1;
1028 x[1][0] = 1;
1029 break;
1030 case OP_NOTRISE:
1031 x[1][1] = 1;
1032 x[0][0] = 1;
1033 x[1][0] = 1;
1034 break;
1035 case OP_NOTFALL:
1036 x[1][1] = 1;
1037 x[0][0] = 1;
1038 x[0][1] = 1;
1039 break;
1040 case OP_NOTRISEFALL:
1041 x[1][1] = 1;
1042 x[0][0] = 1;
1043 break;
1044 }
1045
1046 /* Transpose if neg is set. */
1047 if (neg) {
0a1f7b09
UH
1048 for (i = 0; i < 2; i++) {
1049 for (j = 0; j < 2; j++) {
c53d793f 1050 tmp = x[i][j];
0a1f7b09
UH
1051 x[i][j] = x[1 - i][1 - j];
1052 x[1 - i][1 - j] = tmp;
c53d793f 1053 }
ea9cfed7 1054 }
c53d793f
HE
1055 }
1056
1057 /* Update mask with function. */
0a1f7b09 1058 for (i = 0; i < 16; i++) {
c53d793f
HE
1059 a = (i >> (2 * index + 0)) & 1;
1060 b = (i >> (2 * index + 1)) & 1;
1061
1062 aset = (*mask >> i) & 1;
1063 bset = x[b][a];
1064
382cb19f 1065 rset = 0;
c53d793f
HE
1066 if (func == FUNC_AND || func == FUNC_NAND)
1067 rset = aset & bset;
1068 else if (func == FUNC_OR || func == FUNC_NOR)
1069 rset = aset | bset;
1070 else if (func == FUNC_XOR || func == FUNC_NXOR)
1071 rset = aset ^ bset;
1072
1073 if (func == FUNC_NAND || func == FUNC_NOR || func == FUNC_NXOR)
1074 rset = !rset;
1075
1076 *mask &= ~(1 << i);
1077
1078 if (rset)
1079 *mask |= 1 << i;
1080 }
1081}
1082
1083/*
1084 * Build trigger LUTs used by 50 MHz and lower sample rates for supporting
1085 * simple pin change and state triggers. Only two transitions (rise/fall) can be
1086 * set at any time, but a full mask and value can be set (0/1).
1087 */
3ba56876 1088SR_PRIV int sigma_build_basic_trigger(struct triggerlut *lut, struct dev_context *devc)
c53d793f
HE
1089{
1090 int i,j;
4ae1f451 1091 uint16_t masks[2] = { 0, 0 };
c53d793f
HE
1092
1093 memset(lut, 0, sizeof(struct triggerlut));
1094
f3f19d11 1095 /* Constant for simple triggers. */
c53d793f
HE
1096 lut->m4 = 0xa000;
1097
1098 /* Value/mask trigger support. */
0e1357e8 1099 build_lut_entry(devc->trigger.simplevalue, devc->trigger.simplemask,
99965709 1100 lut->m2d);
c53d793f
HE
1101
1102 /* Rise/fall trigger support. */
0a1f7b09 1103 for (i = 0, j = 0; i < 16; i++) {
0e1357e8
BV
1104 if (devc->trigger.risingmask & (1 << i) ||
1105 devc->trigger.fallingmask & (1 << i))
c53d793f
HE
1106 masks[j++] = 1 << i;
1107 }
1108
1109 build_lut_entry(masks[0], masks[0], lut->m0d);
1110 build_lut_entry(masks[1], masks[1], lut->m1d);
1111
1112 /* Add glue logic */
1113 if (masks[0] || masks[1]) {
1114 /* Transition trigger. */
0e1357e8 1115 if (masks[0] & devc->trigger.risingmask)
c53d793f 1116 add_trigger_function(OP_RISE, FUNC_OR, 0, 0, &lut->m3);
0e1357e8 1117 if (masks[0] & devc->trigger.fallingmask)
c53d793f 1118 add_trigger_function(OP_FALL, FUNC_OR, 0, 0, &lut->m3);
0e1357e8 1119 if (masks[1] & devc->trigger.risingmask)
c53d793f 1120 add_trigger_function(OP_RISE, FUNC_OR, 1, 0, &lut->m3);
0e1357e8 1121 if (masks[1] & devc->trigger.fallingmask)
c53d793f
HE
1122 add_trigger_function(OP_FALL, FUNC_OR, 1, 0, &lut->m3);
1123 } else {
1124 /* Only value/mask trigger. */
1125 lut->m3 = 0xffff;
1126 }
ee492173 1127
c53d793f 1128 /* Triggertype: event. */
ee492173
HE
1129 lut->params.selres = 3;
1130
e46b8fb1 1131 return SR_OK;
ee492173 1132}