]> sigrok.org Git - sigrok-test.git/blob - decoder/test/rgb_led_ws281x/ws2812b_neopixel24_4mhz_snippet.output
avr_isp: add test for ATmega328/P
[sigrok-test.git] / decoder / test / rgb_led_ws281x / ws2812b_neopixel24_4mhz_snippet.output
1 1662-1667 rgb_led_ws281x: bit: "0"
2 1667-1672 rgb_led_ws281x: bit: "0"
3 1672-1677 rgb_led_ws281x: bit: "0"
4 1677-1682 rgb_led_ws281x: bit: "0"
5 1682-1687 rgb_led_ws281x: bit: "0"
6 1687-1692 rgb_led_ws281x: bit: "0"
7 1692-1697 rgb_led_ws281x: bit: "0"
8 1697-1702 rgb_led_ws281x: bit: "0"
9 1702-1707 rgb_led_ws281x: bit: "0"
10 1707-1712 rgb_led_ws281x: bit: "0"
11 1712-1717 rgb_led_ws281x: bit: "0"
12 1717-1722 rgb_led_ws281x: bit: "0"
13 1722-1727 rgb_led_ws281x: bit: "0"
14 1727-1732 rgb_led_ws281x: bit: "0"
15 1732-1737 rgb_led_ws281x: bit: "0"
16 1737-1742 rgb_led_ws281x: bit: "0"
17 1742-1747 rgb_led_ws281x: bit: "0"
18 1747-1752 rgb_led_ws281x: bit: "0"
19 1752-1757 rgb_led_ws281x: bit: "0"
20 1757-1762 rgb_led_ws281x: bit: "0"
21 1762-1767 rgb_led_ws281x: bit: "0"
22 1767-1772 rgb_led_ws281x: bit: "0"
23 1772-1777 rgb_led_ws281x: bit: "0"
24 1777-1782 rgb_led_ws281x: bit: "0"
25 1662-1702 rgb_led_ws281x: g: "00"
26 1702-1742 rgb_led_ws281x: r: "00"
27 1742-1782 rgb_led_ws281x: b: "00"
28 1662-1782 rgb_led_ws281x: rgb: "#000000"
29 1782-1787 rgb_led_ws281x: bit: "0"
30 1787-1792 rgb_led_ws281x: bit: "0"
31 1792-1797 rgb_led_ws281x: bit: "0"
32 1797-1802 rgb_led_ws281x: bit: "0"
33 1802-1807 rgb_led_ws281x: bit: "0"
34 1807-1812 rgb_led_ws281x: bit: "0"
35 1812-1817 rgb_led_ws281x: bit: "0"
36 1817-1822 rgb_led_ws281x: bit: "0"
37 1822-1827 rgb_led_ws281x: bit: "0"
38 1827-1832 rgb_led_ws281x: bit: "0"
39 1832-1837 rgb_led_ws281x: bit: "0"
40 1837-1842 rgb_led_ws281x: bit: "0"
41 1842-1847 rgb_led_ws281x: bit: "0"
42 1847-1852 rgb_led_ws281x: bit: "0"
43 1852-1857 rgb_led_ws281x: bit: "0"
44 1857-1862 rgb_led_ws281x: bit: "0"
45 1862-1867 rgb_led_ws281x: bit: "0"
46 1867-1872 rgb_led_ws281x: bit: "0"
47 1872-1877 rgb_led_ws281x: bit: "0"
48 1877-1882 rgb_led_ws281x: bit: "0"
49 1882-1887 rgb_led_ws281x: bit: "0"
50 1887-1892 rgb_led_ws281x: bit: "0"
51 1892-1897 rgb_led_ws281x: bit: "0"
52 1897-1902 rgb_led_ws281x: bit: "0"
53 1782-1822 rgb_led_ws281x: g: "00"
54 1822-1862 rgb_led_ws281x: r: "00"
55 1862-1902 rgb_led_ws281x: b: "00"
56 1782-1902 rgb_led_ws281x: rgb: "#000000"
57 1902-1907 rgb_led_ws281x: bit: "0"
58 1907-1912 rgb_led_ws281x: bit: "0"
59 1912-1917 rgb_led_ws281x: bit: "0"
60 1917-1922 rgb_led_ws281x: bit: "0"
61 1922-1927 rgb_led_ws281x: bit: "0"
62 1927-1932 rgb_led_ws281x: bit: "0"
63 1932-1937 rgb_led_ws281x: bit: "0"
64 1937-1942 rgb_led_ws281x: bit: "0"
65 1942-1947 rgb_led_ws281x: bit: "0"
66 1947-1952 rgb_led_ws281x: bit: "0"
67 1952-1957 rgb_led_ws281x: bit: "0"
68 1957-1962 rgb_led_ws281x: bit: "0"
69 1962-1967 rgb_led_ws281x: bit: "0"
70 1967-1972 rgb_led_ws281x: bit: "0"
71 1972-1977 rgb_led_ws281x: bit: "0"
72 1977-1982 rgb_led_ws281x: bit: "0"
73 1982-1987 rgb_led_ws281x: bit: "0"
74 1987-1992 rgb_led_ws281x: bit: "0"
75 1992-1997 rgb_led_ws281x: bit: "0"
76 1997-2002 rgb_led_ws281x: bit: "0"
77 2002-2007 rgb_led_ws281x: bit: "0"
78 2007-2012 rgb_led_ws281x: bit: "0"
79 2012-2017 rgb_led_ws281x: bit: "0"
80 2017-2022 rgb_led_ws281x: bit: "0"
81 1902-1942 rgb_led_ws281x: g: "00"
82 1942-1982 rgb_led_ws281x: r: "00"
83 1982-2022 rgb_led_ws281x: b: "00"
84 1902-2022 rgb_led_ws281x: rgb: "#000000"
85 2022-2027 rgb_led_ws281x: bit: "0"
86 2027-2032 rgb_led_ws281x: bit: "0"
87 2032-2037 rgb_led_ws281x: bit: "0"
88 2037-2042 rgb_led_ws281x: bit: "0"
89 2042-2047 rgb_led_ws281x: bit: "0"
90 2047-2052 rgb_led_ws281x: bit: "0"
91 2052-2057 rgb_led_ws281x: bit: "0"
92 2057-2062 rgb_led_ws281x: bit: "0"
93 2062-2067 rgb_led_ws281x: bit: "0"
94 2067-2072 rgb_led_ws281x: bit: "0"
95 2072-2077 rgb_led_ws281x: bit: "0"
96 2077-2082 rgb_led_ws281x: bit: "0"
97 2082-2087 rgb_led_ws281x: bit: "0"
98 2087-2092 rgb_led_ws281x: bit: "0"
99 2092-2097 rgb_led_ws281x: bit: "0"
100 2097-2102 rgb_led_ws281x: bit: "0"
101 2102-2107 rgb_led_ws281x: bit: "0"
102 2107-2112 rgb_led_ws281x: bit: "0"
103 2112-2117 rgb_led_ws281x: bit: "0"
104 2117-2122 rgb_led_ws281x: bit: "0"
105 2122-2127 rgb_led_ws281x: bit: "0"
106 2127-2132 rgb_led_ws281x: bit: "0"
107 2132-2137 rgb_led_ws281x: bit: "0"
108 2137-2142 rgb_led_ws281x: bit: "0"
109 2022-2062 rgb_led_ws281x: g: "00"
110 2062-2102 rgb_led_ws281x: r: "00"
111 2102-2142 rgb_led_ws281x: b: "00"
112 2022-2142 rgb_led_ws281x: rgb: "#000000"
113 2142-2147 rgb_led_ws281x: bit: "0"
114 2147-2152 rgb_led_ws281x: bit: "0"
115 2152-2157 rgb_led_ws281x: bit: "0"
116 2157-2162 rgb_led_ws281x: bit: "0"
117 2162-2167 rgb_led_ws281x: bit: "0"
118 2167-2172 rgb_led_ws281x: bit: "0"
119 2172-2177 rgb_led_ws281x: bit: "0"
120 2177-2182 rgb_led_ws281x: bit: "0"
121 2182-2187 rgb_led_ws281x: bit: "0"
122 2187-2192 rgb_led_ws281x: bit: "0"
123 2192-2197 rgb_led_ws281x: bit: "0"
124 2197-2202 rgb_led_ws281x: bit: "0"
125 2202-2207 rgb_led_ws281x: bit: "0"
126 2207-2212 rgb_led_ws281x: bit: "0"
127 2212-2217 rgb_led_ws281x: bit: "0"
128 2217-2222 rgb_led_ws281x: bit: "0"
129 2222-2227 rgb_led_ws281x: bit: "0"
130 2227-2232 rgb_led_ws281x: bit: "0"
131 2232-2237 rgb_led_ws281x: bit: "0"
132 2237-2242 rgb_led_ws281x: bit: "0"
133 2242-2247 rgb_led_ws281x: bit: "0"
134 2247-2252 rgb_led_ws281x: bit: "0"
135 2252-2257 rgb_led_ws281x: bit: "0"
136 2257-2262 rgb_led_ws281x: bit: "0"
137 2142-2182 rgb_led_ws281x: g: "00"
138 2182-2222 rgb_led_ws281x: r: "00"
139 2222-2262 rgb_led_ws281x: b: "00"
140 2142-2262 rgb_led_ws281x: rgb: "#000000"
141 2262-2267 rgb_led_ws281x: bit: "0"
142 2267-2272 rgb_led_ws281x: bit: "0"
143 2272-2277 rgb_led_ws281x: bit: "0"
144 2277-2282 rgb_led_ws281x: bit: "0"
145 2282-2287 rgb_led_ws281x: bit: "0"
146 2287-2292 rgb_led_ws281x: bit: "0"
147 2292-2297 rgb_led_ws281x: bit: "0"
148 2297-2302 rgb_led_ws281x: bit: "0"
149 2302-2307 rgb_led_ws281x: bit: "0"
150 2307-2312 rgb_led_ws281x: bit: "0"
151 2312-2317 rgb_led_ws281x: bit: "0"
152 2317-2322 rgb_led_ws281x: bit: "0"
153 2322-2327 rgb_led_ws281x: bit: "0"
154 2327-2332 rgb_led_ws281x: bit: "0"
155 2332-2337 rgb_led_ws281x: bit: "0"
156 2337-2342 rgb_led_ws281x: bit: "0"
157 2342-2347 rgb_led_ws281x: bit: "0"
158 2347-2352 rgb_led_ws281x: bit: "0"
159 2352-2357 rgb_led_ws281x: bit: "0"
160 2357-2362 rgb_led_ws281x: bit: "0"
161 2362-2367 rgb_led_ws281x: bit: "0"
162 2367-2372 rgb_led_ws281x: bit: "0"
163 2372-2377 rgb_led_ws281x: bit: "0"
164 2377-2382 rgb_led_ws281x: bit: "0"
165 2262-2302 rgb_led_ws281x: g: "00"
166 2302-2342 rgb_led_ws281x: r: "00"
167 2342-2382 rgb_led_ws281x: b: "00"
168 2262-2382 rgb_led_ws281x: rgb: "#000000"
169 2382-2387 rgb_led_ws281x: bit: "0"
170 2387-2392 rgb_led_ws281x: bit: "0"
171 2392-2397 rgb_led_ws281x: bit: "0"
172 2397-2402 rgb_led_ws281x: bit: "0"
173 2402-2407 rgb_led_ws281x: bit: "0"
174 2407-2412 rgb_led_ws281x: bit: "0"
175 2412-2417 rgb_led_ws281x: bit: "0"
176 2417-2422 rgb_led_ws281x: bit: "1"
177 2422-2427 rgb_led_ws281x: bit: "0"
178 2427-2432 rgb_led_ws281x: bit: "0"
179 2432-2437 rgb_led_ws281x: bit: "0"
180 2437-2442 rgb_led_ws281x: bit: "0"
181 2442-2447 rgb_led_ws281x: bit: "0"
182 2447-2452 rgb_led_ws281x: bit: "0"
183 2452-2457 rgb_led_ws281x: bit: "0"
184 2457-2462 rgb_led_ws281x: bit: "0"
185 2462-2467 rgb_led_ws281x: bit: "0"
186 2467-2472 rgb_led_ws281x: bit: "0"
187 2472-2477 rgb_led_ws281x: bit: "0"
188 2477-2482 rgb_led_ws281x: bit: "0"
189 2482-2487 rgb_led_ws281x: bit: "0"
190 2487-2492 rgb_led_ws281x: bit: "0"
191 2492-2497 rgb_led_ws281x: bit: "0"
192 2497-2502 rgb_led_ws281x: bit: "1"
193 2382-2422 rgb_led_ws281x: g: "01"
194 2422-2462 rgb_led_ws281x: r: "00"
195 2462-2502 rgb_led_ws281x: b: "01"
196 2382-2502 rgb_led_ws281x: rgb: "#000101"
197 2502-2507 rgb_led_ws281x: bit: "0"
198 2507-2512 rgb_led_ws281x: bit: "0"
199 2512-2517 rgb_led_ws281x: bit: "0"
200 2517-2522 rgb_led_ws281x: bit: "0"
201 2522-2527 rgb_led_ws281x: bit: "0"
202 2527-2532 rgb_led_ws281x: bit: "0"
203 2532-2537 rgb_led_ws281x: bit: "1"
204 2537-2542 rgb_led_ws281x: bit: "1"
205 2542-2547 rgb_led_ws281x: bit: "0"
206 2547-2552 rgb_led_ws281x: bit: "0"
207 2552-2557 rgb_led_ws281x: bit: "0"
208 2557-2562 rgb_led_ws281x: bit: "0"
209 2562-2567 rgb_led_ws281x: bit: "0"
210 2567-2572 rgb_led_ws281x: bit: "0"
211 2572-2577 rgb_led_ws281x: bit: "0"
212 2577-2582 rgb_led_ws281x: bit: "0"
213 2582-2587 rgb_led_ws281x: bit: "0"
214 2587-2592 rgb_led_ws281x: bit: "0"
215 2592-2597 rgb_led_ws281x: bit: "0"
216 2597-2602 rgb_led_ws281x: bit: "0"
217 2602-2607 rgb_led_ws281x: bit: "0"
218 2607-2612 rgb_led_ws281x: bit: "0"
219 2612-2617 rgb_led_ws281x: bit: "1"
220 2617-2622 rgb_led_ws281x: bit: "1"
221 2502-2542 rgb_led_ws281x: g: "03"
222 2542-2582 rgb_led_ws281x: r: "00"
223 2582-2622 rgb_led_ws281x: b: "03"
224 2502-2622 rgb_led_ws281x: rgb: "#000303"
225 2622-2627 rgb_led_ws281x: bit: "0"
226 2627-2632 rgb_led_ws281x: bit: "0"
227 2632-2637 rgb_led_ws281x: bit: "0"
228 2637-2642 rgb_led_ws281x: bit: "0"
229 2642-2647 rgb_led_ws281x: bit: "0"
230 2647-2652 rgb_led_ws281x: bit: "1"
231 2652-2657 rgb_led_ws281x: bit: "1"
232 2657-2662 rgb_led_ws281x: bit: "1"
233 2662-2667 rgb_led_ws281x: bit: "0"
234 2667-2672 rgb_led_ws281x: bit: "0"
235 2672-2677 rgb_led_ws281x: bit: "0"
236 2677-2682 rgb_led_ws281x: bit: "0"
237 2682-2687 rgb_led_ws281x: bit: "0"
238 2687-2692 rgb_led_ws281x: bit: "0"
239 2692-2697 rgb_led_ws281x: bit: "0"
240 2697-2702 rgb_led_ws281x: bit: "0"
241 2702-2707 rgb_led_ws281x: bit: "0"
242 2707-2712 rgb_led_ws281x: bit: "0"
243 2712-2717 rgb_led_ws281x: bit: "0"
244 2717-2722 rgb_led_ws281x: bit: "0"
245 2722-2727 rgb_led_ws281x: bit: "0"
246 2727-2732 rgb_led_ws281x: bit: "1"
247 2732-2737 rgb_led_ws281x: bit: "1"
248 2737-2742 rgb_led_ws281x: bit: "1"
249 2622-2662 rgb_led_ws281x: g: "07"
250 2662-2702 rgb_led_ws281x: r: "00"
251 2702-2742 rgb_led_ws281x: b: "07"
252 2622-2742 rgb_led_ws281x: rgb: "#000707"
253 2742-2747 rgb_led_ws281x: bit: "0"
254 2747-2752 rgb_led_ws281x: bit: "0"
255 2752-2757 rgb_led_ws281x: bit: "0"
256 2757-2762 rgb_led_ws281x: bit: "0"
257 2762-2767 rgb_led_ws281x: bit: "1"
258 2767-2772 rgb_led_ws281x: bit: "1"
259 2772-2777 rgb_led_ws281x: bit: "1"
260 2777-2782 rgb_led_ws281x: bit: "0"
261 2782-2787 rgb_led_ws281x: bit: "0"
262 2787-2792 rgb_led_ws281x: bit: "0"
263 2792-2797 rgb_led_ws281x: bit: "0"
264 2797-2802 rgb_led_ws281x: bit: "0"
265 2802-2807 rgb_led_ws281x: bit: "0"
266 2807-2812 rgb_led_ws281x: bit: "0"
267 2812-2817 rgb_led_ws281x: bit: "0"
268 2817-2822 rgb_led_ws281x: bit: "0"
269 2822-2827 rgb_led_ws281x: bit: "0"
270 2827-2832 rgb_led_ws281x: bit: "0"
271 2832-2837 rgb_led_ws281x: bit: "0"
272 2837-2842 rgb_led_ws281x: bit: "0"
273 2842-2847 rgb_led_ws281x: bit: "1"
274 2847-2852 rgb_led_ws281x: bit: "1"
275 2852-2857 rgb_led_ws281x: bit: "0"
276 2857-2862 rgb_led_ws281x: bit: "1"
277 2742-2782 rgb_led_ws281x: g: "0e"
278 2782-2822 rgb_led_ws281x: r: "00"
279 2822-2862 rgb_led_ws281x: b: "0d"
280 2742-2862 rgb_led_ws281x: rgb: "#000e0d"
281 2862-2867 rgb_led_ws281x: bit: "0"
282 2867-2872 rgb_led_ws281x: bit: "0"
283 2872-2877 rgb_led_ws281x: bit: "0"
284 2877-2882 rgb_led_ws281x: bit: "1"
285 2882-2887 rgb_led_ws281x: bit: "0"
286 2887-2892 rgb_led_ws281x: bit: "1"
287 2892-2897 rgb_led_ws281x: bit: "1"
288 2897-2902 rgb_led_ws281x: bit: "1"
289 2902-2907 rgb_led_ws281x: bit: "0"
290 2907-2912 rgb_led_ws281x: bit: "0"
291 2912-2917 rgb_led_ws281x: bit: "0"
292 2917-2922 rgb_led_ws281x: bit: "0"
293 2922-2927 rgb_led_ws281x: bit: "0"
294 2927-2932 rgb_led_ws281x: bit: "0"
295 2932-2937 rgb_led_ws281x: bit: "0"
296 2937-2942 rgb_led_ws281x: bit: "0"
297 2942-2947 rgb_led_ws281x: bit: "0"
298 2947-2952 rgb_led_ws281x: bit: "0"
299 2952-2957 rgb_led_ws281x: bit: "0"
300 2957-2962 rgb_led_ws281x: bit: "1"
301 2962-2967 rgb_led_ws281x: bit: "0"
302 2967-2972 rgb_led_ws281x: bit: "1"
303 2972-2977 rgb_led_ws281x: bit: "1"
304 2977-2982 rgb_led_ws281x: bit: "0"
305 2862-2902 rgb_led_ws281x: g: "17"
306 2902-2942 rgb_led_ws281x: r: "00"
307 2942-2982 rgb_led_ws281x: b: "16"
308 2862-2982 rgb_led_ws281x: rgb: "#001716"
309 2982-2987 rgb_led_ws281x: bit: "0"
310 2987-2992 rgb_led_ws281x: bit: "0"
311 2992-2997 rgb_led_ws281x: bit: "1"
312 2997-3002 rgb_led_ws281x: bit: "0"
313 3002-3007 rgb_led_ws281x: bit: "0"
314 3007-3012 rgb_led_ws281x: bit: "0"
315 3012-3017 rgb_led_ws281x: bit: "1"
316 3017-3022 rgb_led_ws281x: bit: "1"
317 3022-3027 rgb_led_ws281x: bit: "0"
318 3027-3032 rgb_led_ws281x: bit: "0"
319 3032-3037 rgb_led_ws281x: bit: "0"
320 3037-3042 rgb_led_ws281x: bit: "0"
321 3042-3047 rgb_led_ws281x: bit: "0"
322 3047-3052 rgb_led_ws281x: bit: "0"
323 3052-3057 rgb_led_ws281x: bit: "0"
324 3057-3062 rgb_led_ws281x: bit: "0"
325 3062-3067 rgb_led_ws281x: bit: "0"
326 3067-3072 rgb_led_ws281x: bit: "0"
327 3072-3077 rgb_led_ws281x: bit: "1"
328 3077-3082 rgb_led_ws281x: bit: "0"
329 3082-3087 rgb_led_ws281x: bit: "0"
330 3087-3092 rgb_led_ws281x: bit: "0"
331 3092-3097 rgb_led_ws281x: bit: "0"
332 3097-3102 rgb_led_ws281x: bit: "1"
333 2982-3022 rgb_led_ws281x: g: "23"
334 3022-3062 rgb_led_ws281x: r: "00"
335 3062-3102 rgb_led_ws281x: b: "21"
336 2982-3102 rgb_led_ws281x: rgb: "#002321"
337 3102-3107 rgb_led_ws281x: bit: "0"
338 3107-3112 rgb_led_ws281x: bit: "0"
339 3112-3117 rgb_led_ws281x: bit: "1"
340 3117-3122 rgb_led_ws281x: bit: "1"
341 3122-3127 rgb_led_ws281x: bit: "0"
342 3127-3132 rgb_led_ws281x: bit: "0"
343 3132-3137 rgb_led_ws281x: bit: "0"
344 3137-3142 rgb_led_ws281x: bit: "1"
345 3142-3147 rgb_led_ws281x: bit: "0"
346 3147-3152 rgb_led_ws281x: bit: "0"
347 3152-3157 rgb_led_ws281x: bit: "0"
348 3157-3162 rgb_led_ws281x: bit: "0"
349 3162-3167 rgb_led_ws281x: bit: "0"
350 3167-3172 rgb_led_ws281x: bit: "0"
351 3172-3177 rgb_led_ws281x: bit: "0"
352 3177-3182 rgb_led_ws281x: bit: "0"
353 3182-3187 rgb_led_ws281x: bit: "0"
354 3187-3192 rgb_led_ws281x: bit: "0"
355 3192-3197 rgb_led_ws281x: bit: "1"
356 3197-3202 rgb_led_ws281x: bit: "0"
357 3202-3207 rgb_led_ws281x: bit: "1"
358 3207-3212 rgb_led_ws281x: bit: "1"
359 3212-3217 rgb_led_ws281x: bit: "1"
360 3217-3222 rgb_led_ws281x: bit: "0"
361 3102-3142 rgb_led_ws281x: g: "31"
362 3142-3182 rgb_led_ws281x: r: "00"
363 3182-3222 rgb_led_ws281x: b: "2e"
364 3102-3222 rgb_led_ws281x: rgb: "#00312e"
365 3222-3227 rgb_led_ws281x: bit: "0"
366 3227-3232 rgb_led_ws281x: bit: "0"
367 3232-3237 rgb_led_ws281x: bit: "0"
368 3237-3242 rgb_led_ws281x: bit: "0"
369 3242-3247 rgb_led_ws281x: bit: "0"
370 3247-3252 rgb_led_ws281x: bit: "0"
371 3252-3257 rgb_led_ws281x: bit: "0"
372 3257-3262 rgb_led_ws281x: bit: "0"
373 3262-3267 rgb_led_ws281x: bit: "0"
374 3267-3272 rgb_led_ws281x: bit: "0"
375 3272-3277 rgb_led_ws281x: bit: "0"
376 3277-3282 rgb_led_ws281x: bit: "0"
377 3282-3287 rgb_led_ws281x: bit: "0"
378 3287-3292 rgb_led_ws281x: bit: "0"
379 3292-3297 rgb_led_ws281x: bit: "0"
380 3297-3302 rgb_led_ws281x: bit: "0"
381 3302-3307 rgb_led_ws281x: bit: "0"
382 3307-3312 rgb_led_ws281x: bit: "0"
383 3312-3317 rgb_led_ws281x: bit: "0"
384 3317-3322 rgb_led_ws281x: bit: "0"
385 3322-3327 rgb_led_ws281x: bit: "0"
386 3327-3332 rgb_led_ws281x: bit: "0"
387 3332-3337 rgb_led_ws281x: bit: "0"
388 3337-3342 rgb_led_ws281x: bit: "0"
389 3222-3262 rgb_led_ws281x: g: "00"
390 3262-3302 rgb_led_ws281x: r: "00"
391 3302-3342 rgb_led_ws281x: b: "00"
392 3222-3342 rgb_led_ws281x: rgb: "#000000"
393 3342-3347 rgb_led_ws281x: bit: "0"
394 3347-3352 rgb_led_ws281x: bit: "0"
395 3352-3357 rgb_led_ws281x: bit: "0"
396 3357-3362 rgb_led_ws281x: bit: "0"
397 3362-3367 rgb_led_ws281x: bit: "0"
398 3367-3372 rgb_led_ws281x: bit: "0"
399 3372-3377 rgb_led_ws281x: bit: "0"
400 3377-3382 rgb_led_ws281x: bit: "0"
401 3382-3387 rgb_led_ws281x: bit: "0"
402 3387-3392 rgb_led_ws281x: bit: "0"
403 3392-3397 rgb_led_ws281x: bit: "0"
404 3397-3402 rgb_led_ws281x: bit: "0"
405 3402-3407 rgb_led_ws281x: bit: "0"
406 3407-3412 rgb_led_ws281x: bit: "0"
407 3412-3417 rgb_led_ws281x: bit: "0"
408 3417-3422 rgb_led_ws281x: bit: "0"
409 3422-3427 rgb_led_ws281x: bit: "0"
410 3427-3432 rgb_led_ws281x: bit: "0"
411 3432-3437 rgb_led_ws281x: bit: "0"
412 3437-3442 rgb_led_ws281x: bit: "0"
413 3442-3447 rgb_led_ws281x: bit: "0"
414 3447-3452 rgb_led_ws281x: bit: "0"
415 3452-3457 rgb_led_ws281x: bit: "0"
416 3457-3462 rgb_led_ws281x: bit: "0"
417 3342-3382 rgb_led_ws281x: g: "00"
418 3382-3422 rgb_led_ws281x: r: "00"
419 3422-3462 rgb_led_ws281x: b: "00"
420 3342-3462 rgb_led_ws281x: rgb: "#000000"
421 3462-3467 rgb_led_ws281x: bit: "0"
422 3467-3472 rgb_led_ws281x: bit: "0"
423 3472-3477 rgb_led_ws281x: bit: "0"
424 3477-3482 rgb_led_ws281x: bit: "0"
425 3482-3487 rgb_led_ws281x: bit: "0"
426 3487-3492 rgb_led_ws281x: bit: "0"
427 3492-3497 rgb_led_ws281x: bit: "0"
428 3497-3502 rgb_led_ws281x: bit: "0"
429 3502-3507 rgb_led_ws281x: bit: "0"
430 3507-3512 rgb_led_ws281x: bit: "0"
431 3512-3517 rgb_led_ws281x: bit: "0"
432 3517-3522 rgb_led_ws281x: bit: "0"
433 3522-3527 rgb_led_ws281x: bit: "0"
434 3527-3532 rgb_led_ws281x: bit: "0"
435 3532-3537 rgb_led_ws281x: bit: "0"
436 3537-3542 rgb_led_ws281x: bit: "0"
437 3542-3547 rgb_led_ws281x: bit: "0"
438 3547-3552 rgb_led_ws281x: bit: "0"
439 3552-3557 rgb_led_ws281x: bit: "0"
440 3557-3562 rgb_led_ws281x: bit: "0"
441 3562-3567 rgb_led_ws281x: bit: "0"
442 3567-3572 rgb_led_ws281x: bit: "0"
443 3572-3577 rgb_led_ws281x: bit: "0"
444 3577-3582 rgb_led_ws281x: bit: "0"
445 3462-3502 rgb_led_ws281x: g: "00"
446 3502-3542 rgb_led_ws281x: r: "00"
447 3542-3582 rgb_led_ws281x: b: "00"
448 3462-3582 rgb_led_ws281x: rgb: "#000000"
449 3582-3587 rgb_led_ws281x: bit: "0"
450 3587-3592 rgb_led_ws281x: bit: "0"
451 3592-3597 rgb_led_ws281x: bit: "0"
452 3597-3602 rgb_led_ws281x: bit: "0"
453 3602-3607 rgb_led_ws281x: bit: "0"
454 3607-3612 rgb_led_ws281x: bit: "0"
455 3612-3617 rgb_led_ws281x: bit: "0"
456 3617-3622 rgb_led_ws281x: bit: "0"
457 3622-3627 rgb_led_ws281x: bit: "0"
458 3627-3632 rgb_led_ws281x: bit: "0"
459 3632-3637 rgb_led_ws281x: bit: "0"
460 3637-3642 rgb_led_ws281x: bit: "0"
461 3642-3647 rgb_led_ws281x: bit: "0"
462 3647-3652 rgb_led_ws281x: bit: "0"
463 3652-3657 rgb_led_ws281x: bit: "0"
464 3657-3662 rgb_led_ws281x: bit: "0"
465 3662-3667 rgb_led_ws281x: bit: "0"
466 3667-3672 rgb_led_ws281x: bit: "0"
467 3672-3677 rgb_led_ws281x: bit: "0"
468 3677-3682 rgb_led_ws281x: bit: "0"
469 3682-3687 rgb_led_ws281x: bit: "0"
470 3687-3692 rgb_led_ws281x: bit: "0"
471 3692-3697 rgb_led_ws281x: bit: "0"
472 3697-3702 rgb_led_ws281x: bit: "0"
473 3582-3622 rgb_led_ws281x: g: "00"
474 3622-3662 rgb_led_ws281x: r: "00"
475 3662-3702 rgb_led_ws281x: b: "00"
476 3582-3702 rgb_led_ws281x: rgb: "#000000"
477 3702-3707 rgb_led_ws281x: bit: "0"
478 3707-3712 rgb_led_ws281x: bit: "0"
479 3712-3717 rgb_led_ws281x: bit: "0"
480 3717-3722 rgb_led_ws281x: bit: "0"
481 3722-3727 rgb_led_ws281x: bit: "0"
482 3727-3732 rgb_led_ws281x: bit: "0"
483 3732-3737 rgb_led_ws281x: bit: "0"
484 3737-3742 rgb_led_ws281x: bit: "0"
485 3742-3747 rgb_led_ws281x: bit: "0"
486 3747-3752 rgb_led_ws281x: bit: "0"
487 3752-3757 rgb_led_ws281x: bit: "0"
488 3757-3762 rgb_led_ws281x: bit: "0"
489 3762-3767 rgb_led_ws281x: bit: "0"
490 3767-3772 rgb_led_ws281x: bit: "0"
491 3772-3777 rgb_led_ws281x: bit: "0"
492 3777-3782 rgb_led_ws281x: bit: "0"
493 3782-3787 rgb_led_ws281x: bit: "0"
494 3787-3792 rgb_led_ws281x: bit: "0"
495 3792-3797 rgb_led_ws281x: bit: "0"
496 3797-3802 rgb_led_ws281x: bit: "0"
497 3802-3807 rgb_led_ws281x: bit: "0"
498 3807-3812 rgb_led_ws281x: bit: "0"
499 3812-3817 rgb_led_ws281x: bit: "0"
500 3817-3822 rgb_led_ws281x: bit: "0"
501 3702-3742 rgb_led_ws281x: g: "00"
502 3742-3782 rgb_led_ws281x: r: "00"
503 3782-3822 rgb_led_ws281x: b: "00"
504 3702-3822 rgb_led_ws281x: rgb: "#000000"
505 3822-3827 rgb_led_ws281x: bit: "0"
506 3827-3832 rgb_led_ws281x: bit: "0"
507 3832-3837 rgb_led_ws281x: bit: "0"
508 3837-3842 rgb_led_ws281x: bit: "0"
509 3842-3847 rgb_led_ws281x: bit: "0"
510 3847-3852 rgb_led_ws281x: bit: "0"
511 3852-3857 rgb_led_ws281x: bit: "0"
512 3857-3862 rgb_led_ws281x: bit: "0"
513 3862-3867 rgb_led_ws281x: bit: "0"
514 3867-3872 rgb_led_ws281x: bit: "0"
515 3872-3877 rgb_led_ws281x: bit: "0"
516 3877-3882 rgb_led_ws281x: bit: "0"
517 3882-3887 rgb_led_ws281x: bit: "0"
518 3887-3892 rgb_led_ws281x: bit: "0"
519 3892-3897 rgb_led_ws281x: bit: "0"
520 3897-3902 rgb_led_ws281x: bit: "0"
521 3902-3907 rgb_led_ws281x: bit: "0"
522 3907-3912 rgb_led_ws281x: bit: "0"
523 3912-3917 rgb_led_ws281x: bit: "0"
524 3917-3922 rgb_led_ws281x: bit: "0"
525 3922-3927 rgb_led_ws281x: bit: "0"
526 3927-3932 rgb_led_ws281x: bit: "0"
527 3932-3937 rgb_led_ws281x: bit: "0"
528 3937-3942 rgb_led_ws281x: bit: "0"
529 3822-3862 rgb_led_ws281x: g: "00"
530 3862-3902 rgb_led_ws281x: r: "00"
531 3902-3942 rgb_led_ws281x: b: "00"
532 3822-3942 rgb_led_ws281x: rgb: "#000000"
533 3942-3947 rgb_led_ws281x: bit: "0"
534 3947-3952 rgb_led_ws281x: bit: "0"
535 3952-3957 rgb_led_ws281x: bit: "0"
536 3957-3962 rgb_led_ws281x: bit: "0"
537 3962-3967 rgb_led_ws281x: bit: "0"
538 3967-3972 rgb_led_ws281x: bit: "0"
539 3972-3977 rgb_led_ws281x: bit: "0"
540 3977-3982 rgb_led_ws281x: bit: "0"
541 3982-3987 rgb_led_ws281x: bit: "0"
542 3987-3992 rgb_led_ws281x: bit: "0"
543 3992-3997 rgb_led_ws281x: bit: "0"
544 3997-4002 rgb_led_ws281x: bit: "0"
545 4002-4007 rgb_led_ws281x: bit: "0"
546 4007-4012 rgb_led_ws281x: bit: "0"
547 4012-4017 rgb_led_ws281x: bit: "0"
548 4017-4022 rgb_led_ws281x: bit: "0"
549 4022-4027 rgb_led_ws281x: bit: "0"
550 4027-4032 rgb_led_ws281x: bit: "0"
551 4032-4037 rgb_led_ws281x: bit: "0"
552 4037-4042 rgb_led_ws281x: bit: "0"
553 4042-4047 rgb_led_ws281x: bit: "0"
554 4047-4052 rgb_led_ws281x: bit: "0"
555 4052-4057 rgb_led_ws281x: bit: "0"
556 4057-4062 rgb_led_ws281x: bit: "0"
557 3942-3982 rgb_led_ws281x: g: "00"
558 3982-4022 rgb_led_ws281x: r: "00"
559 4022-4062 rgb_led_ws281x: b: "00"
560 3942-4062 rgb_led_ws281x: rgb: "#000000"
561 4062-4067 rgb_led_ws281x: bit: "0"
562 4067-4072 rgb_led_ws281x: bit: "0"
563 4072-4077 rgb_led_ws281x: bit: "0"
564 4077-4082 rgb_led_ws281x: bit: "0"
565 4082-4087 rgb_led_ws281x: bit: "0"
566 4087-4092 rgb_led_ws281x: bit: "0"
567 4092-4097 rgb_led_ws281x: bit: "0"
568 4097-4102 rgb_led_ws281x: bit: "0"
569 4102-4107 rgb_led_ws281x: bit: "0"
570 4107-4112 rgb_led_ws281x: bit: "0"
571 4112-4117 rgb_led_ws281x: bit: "0"
572 4117-4122 rgb_led_ws281x: bit: "0"
573 4122-4127 rgb_led_ws281x: bit: "0"
574 4127-4132 rgb_led_ws281x: bit: "0"
575 4132-4137 rgb_led_ws281x: bit: "0"
576 4137-4142 rgb_led_ws281x: bit: "0"
577 4142-4147 rgb_led_ws281x: bit: "0"
578 4147-4152 rgb_led_ws281x: bit: "0"
579 4152-4157 rgb_led_ws281x: bit: "0"
580 4157-4162 rgb_led_ws281x: bit: "0"
581 4162-4167 rgb_led_ws281x: bit: "0"
582 4167-4172 rgb_led_ws281x: bit: "0"
583 4172-4177 rgb_led_ws281x: bit: "0"
584 4177-4182 rgb_led_ws281x: bit: "0"
585 4062-4102 rgb_led_ws281x: g: "00"
586 4102-4142 rgb_led_ws281x: r: "00"
587 4142-4182 rgb_led_ws281x: b: "00"
588 4062-4182 rgb_led_ws281x: rgb: "#000000"
589 4182-4187 rgb_led_ws281x: bit: "0"
590 4187-4192 rgb_led_ws281x: bit: "0"
591 4192-4197 rgb_led_ws281x: bit: "0"
592 4197-4202 rgb_led_ws281x: bit: "0"
593 4202-4207 rgb_led_ws281x: bit: "0"
594 4207-4212 rgb_led_ws281x: bit: "0"
595 4212-4217 rgb_led_ws281x: bit: "0"
596 4217-4222 rgb_led_ws281x: bit: "0"
597 4222-4227 rgb_led_ws281x: bit: "0"
598 4227-4232 rgb_led_ws281x: bit: "0"
599 4232-4237 rgb_led_ws281x: bit: "0"
600 4237-4242 rgb_led_ws281x: bit: "0"
601 4242-4247 rgb_led_ws281x: bit: "0"
602 4247-4252 rgb_led_ws281x: bit: "0"
603 4252-4257 rgb_led_ws281x: bit: "0"
604 4257-4262 rgb_led_ws281x: bit: "0"
605 4262-4267 rgb_led_ws281x: bit: "0"
606 4267-4272 rgb_led_ws281x: bit: "0"
607 4272-4277 rgb_led_ws281x: bit: "0"
608 4277-4282 rgb_led_ws281x: bit: "0"
609 4282-4287 rgb_led_ws281x: bit: "0"
610 4287-4292 rgb_led_ws281x: bit: "0"
611 4292-4297 rgb_led_ws281x: bit: "0"
612 4297-4302 rgb_led_ws281x: bit: "0"
613 4182-4222 rgb_led_ws281x: g: "00"
614 4222-4262 rgb_led_ws281x: r: "00"
615 4262-4302 rgb_led_ws281x: b: "00"
616 4182-4302 rgb_led_ws281x: rgb: "#000000"
617 4302-4307 rgb_led_ws281x: bit: "0"
618 4307-4312 rgb_led_ws281x: bit: "0"
619 4312-4317 rgb_led_ws281x: bit: "0"
620 4317-4322 rgb_led_ws281x: bit: "0"
621 4322-4327 rgb_led_ws281x: bit: "0"
622 4327-4332 rgb_led_ws281x: bit: "0"
623 4332-4337 rgb_led_ws281x: bit: "0"
624 4337-4342 rgb_led_ws281x: bit: "0"
625 4342-4347 rgb_led_ws281x: bit: "0"
626 4347-4352 rgb_led_ws281x: bit: "0"
627 4352-4357 rgb_led_ws281x: bit: "0"
628 4357-4362 rgb_led_ws281x: bit: "0"
629 4362-4367 rgb_led_ws281x: bit: "0"
630 4367-4372 rgb_led_ws281x: bit: "0"
631 4372-4377 rgb_led_ws281x: bit: "0"
632 4377-4382 rgb_led_ws281x: bit: "0"
633 4382-4387 rgb_led_ws281x: bit: "0"
634 4387-4392 rgb_led_ws281x: bit: "0"
635 4392-4397 rgb_led_ws281x: bit: "0"
636 4397-4402 rgb_led_ws281x: bit: "0"
637 4402-4407 rgb_led_ws281x: bit: "0"
638 4407-4412 rgb_led_ws281x: bit: "0"
639 4412-4417 rgb_led_ws281x: bit: "0"
640 4417-4422 rgb_led_ws281x: bit: "0"
641 4302-4342 rgb_led_ws281x: g: "00"
642 4342-4382 rgb_led_ws281x: r: "00"
643 4382-4422 rgb_led_ws281x: b: "00"
644 4302-4422 rgb_led_ws281x: rgb: "#000000"
645 4422-4427 rgb_led_ws281x: bit: "0"
646 4427-4432 rgb_led_ws281x: bit: "0"
647 4432-4437 rgb_led_ws281x: bit: "0"
648 4437-4442 rgb_led_ws281x: bit: "0"
649 4442-4447 rgb_led_ws281x: bit: "0"
650 4447-4452 rgb_led_ws281x: bit: "0"
651 4452-4457 rgb_led_ws281x: bit: "0"
652 4457-4462 rgb_led_ws281x: bit: "0"
653 4462-4467 rgb_led_ws281x: bit: "0"
654 4467-4472 rgb_led_ws281x: bit: "0"
655 4472-4477 rgb_led_ws281x: bit: "0"
656 4477-4482 rgb_led_ws281x: bit: "0"
657 4482-4487 rgb_led_ws281x: bit: "0"
658 4487-4492 rgb_led_ws281x: bit: "0"
659 4492-4497 rgb_led_ws281x: bit: "0"
660 4497-4502 rgb_led_ws281x: bit: "0"
661 4502-4507 rgb_led_ws281x: bit: "0"
662 4507-4512 rgb_led_ws281x: bit: "0"
663 4512-4517 rgb_led_ws281x: bit: "0"
664 4517-4522 rgb_led_ws281x: bit: "0"
665 4522-4527 rgb_led_ws281x: bit: "0"
666 4527-4532 rgb_led_ws281x: bit: "0"
667 4532-4537 rgb_led_ws281x: bit: "0"
668 4422-4462 rgb_led_ws281x: g: "00"
669 4462-4502 rgb_led_ws281x: r: "00"
670 4502-4538 rgb_led_ws281x: b: "00"
671 4422-4538 rgb_led_ws281x: rgb: "#000000"
672 4537-4538 rgb_led_ws281x: bit: "0"
673 4538-4739 rgb_led_ws281x: reset: "RESET" "RST" "R"
674 8472-8477 rgb_led_ws281x: bit: "0"
675 8477-8482 rgb_led_ws281x: bit: "0"
676 8482-8487 rgb_led_ws281x: bit: "0"
677 8487-8492 rgb_led_ws281x: bit: "0"
678 8492-8497 rgb_led_ws281x: bit: "0"
679 8497-8502 rgb_led_ws281x: bit: "0"
680 8502-8507 rgb_led_ws281x: bit: "0"
681 8507-8512 rgb_led_ws281x: bit: "0"
682 8512-8517 rgb_led_ws281x: bit: "0"
683 8517-8522 rgb_led_ws281x: bit: "0"
684 8522-8527 rgb_led_ws281x: bit: "0"
685 8527-8532 rgb_led_ws281x: bit: "0"
686 8532-8537 rgb_led_ws281x: bit: "0"
687 8537-8542 rgb_led_ws281x: bit: "0"
688 8542-8547 rgb_led_ws281x: bit: "0"
689 8547-8552 rgb_led_ws281x: bit: "0"
690 8552-8557 rgb_led_ws281x: bit: "0"
691 8557-8562 rgb_led_ws281x: bit: "0"
692 8562-8567 rgb_led_ws281x: bit: "0"
693 8567-8572 rgb_led_ws281x: bit: "0"
694 8572-8577 rgb_led_ws281x: bit: "0"
695 8577-8582 rgb_led_ws281x: bit: "0"
696 8582-8587 rgb_led_ws281x: bit: "0"
697 8587-8592 rgb_led_ws281x: bit: "0"
698 8472-8512 rgb_led_ws281x: g: "00"
699 8512-8552 rgb_led_ws281x: r: "00"
700 8552-8592 rgb_led_ws281x: b: "00"
701 8472-8592 rgb_led_ws281x: rgb: "#000000"
702 8592-8597 rgb_led_ws281x: bit: "0"
703 8597-8602 rgb_led_ws281x: bit: "0"
704 8602-8607 rgb_led_ws281x: bit: "0"
705 8607-8612 rgb_led_ws281x: bit: "0"
706 8612-8617 rgb_led_ws281x: bit: "0"
707 8617-8622 rgb_led_ws281x: bit: "0"
708 8622-8627 rgb_led_ws281x: bit: "0"
709 8627-8632 rgb_led_ws281x: bit: "0"
710 8632-8637 rgb_led_ws281x: bit: "0"
711 8637-8642 rgb_led_ws281x: bit: "0"
712 8642-8647 rgb_led_ws281x: bit: "0"
713 8647-8652 rgb_led_ws281x: bit: "0"
714 8652-8657 rgb_led_ws281x: bit: "0"
715 8657-8662 rgb_led_ws281x: bit: "0"
716 8662-8667 rgb_led_ws281x: bit: "0"
717 8667-8672 rgb_led_ws281x: bit: "0"
718 8672-8677 rgb_led_ws281x: bit: "0"
719 8677-8682 rgb_led_ws281x: bit: "0"
720 8682-8687 rgb_led_ws281x: bit: "0"
721 8687-8692 rgb_led_ws281x: bit: "0"
722 8692-8697 rgb_led_ws281x: bit: "0"
723 8697-8702 rgb_led_ws281x: bit: "0"
724 8702-8707 rgb_led_ws281x: bit: "0"
725 8707-8712 rgb_led_ws281x: bit: "0"
726 8592-8632 rgb_led_ws281x: g: "00"
727 8632-8672 rgb_led_ws281x: r: "00"
728 8672-8712 rgb_led_ws281x: b: "00"
729 8592-8712 rgb_led_ws281x: rgb: "#000000"
730 8712-8717 rgb_led_ws281x: bit: "0"
731 8717-8722 rgb_led_ws281x: bit: "0"
732 8722-8727 rgb_led_ws281x: bit: "0"
733 8727-8732 rgb_led_ws281x: bit: "0"
734 8732-8737 rgb_led_ws281x: bit: "0"
735 8737-8742 rgb_led_ws281x: bit: "0"
736 8742-8747 rgb_led_ws281x: bit: "0"
737 8747-8752 rgb_led_ws281x: bit: "0"
738 8752-8757 rgb_led_ws281x: bit: "0"
739 8757-8762 rgb_led_ws281x: bit: "0"
740 8762-8767 rgb_led_ws281x: bit: "0"
741 8767-8772 rgb_led_ws281x: bit: "0"
742 8772-8777 rgb_led_ws281x: bit: "0"
743 8777-8782 rgb_led_ws281x: bit: "0"
744 8782-8787 rgb_led_ws281x: bit: "0"
745 8787-8792 rgb_led_ws281x: bit: "0"
746 8792-8797 rgb_led_ws281x: bit: "0"
747 8797-8802 rgb_led_ws281x: bit: "0"
748 8802-8807 rgb_led_ws281x: bit: "0"
749 8807-8812 rgb_led_ws281x: bit: "0"
750 8812-8817 rgb_led_ws281x: bit: "0"
751 8817-8822 rgb_led_ws281x: bit: "0"
752 8822-8827 rgb_led_ws281x: bit: "0"
753 8827-8832 rgb_led_ws281x: bit: "0"
754 8712-8752 rgb_led_ws281x: g: "00"
755 8752-8792 rgb_led_ws281x: r: "00"
756 8792-8832 rgb_led_ws281x: b: "00"
757 8712-8832 rgb_led_ws281x: rgb: "#000000"
758 8832-8837 rgb_led_ws281x: bit: "0"
759 8837-8842 rgb_led_ws281x: bit: "0"
760 8842-8847 rgb_led_ws281x: bit: "0"
761 8847-8852 rgb_led_ws281x: bit: "0"
762 8852-8857 rgb_led_ws281x: bit: "0"
763 8857-8862 rgb_led_ws281x: bit: "0"
764 8862-8867 rgb_led_ws281x: bit: "0"
765 8867-8872 rgb_led_ws281x: bit: "0"
766 8872-8877 rgb_led_ws281x: bit: "0"
767 8877-8882 rgb_led_ws281x: bit: "0"
768 8882-8887 rgb_led_ws281x: bit: "0"
769 8887-8892 rgb_led_ws281x: bit: "0"
770 8892-8897 rgb_led_ws281x: bit: "0"
771 8897-8902 rgb_led_ws281x: bit: "0"
772 8902-8907 rgb_led_ws281x: bit: "0"
773 8907-8912 rgb_led_ws281x: bit: "0"
774 8912-8917 rgb_led_ws281x: bit: "0"
775 8917-8922 rgb_led_ws281x: bit: "0"
776 8922-8927 rgb_led_ws281x: bit: "0"
777 8927-8932 rgb_led_ws281x: bit: "0"
778 8932-8937 rgb_led_ws281x: bit: "0"
779 8937-8942 rgb_led_ws281x: bit: "0"
780 8942-8947 rgb_led_ws281x: bit: "0"
781 8947-8952 rgb_led_ws281x: bit: "0"
782 8832-8872 rgb_led_ws281x: g: "00"
783 8872-8912 rgb_led_ws281x: r: "00"
784 8912-8952 rgb_led_ws281x: b: "00"
785 8832-8952 rgb_led_ws281x: rgb: "#000000"
786 8952-8957 rgb_led_ws281x: bit: "0"
787 8957-8962 rgb_led_ws281x: bit: "0"
788 8962-8967 rgb_led_ws281x: bit: "0"
789 8967-8972 rgb_led_ws281x: bit: "0"
790 8972-8977 rgb_led_ws281x: bit: "0"
791 8977-8982 rgb_led_ws281x: bit: "0"
792 8982-8987 rgb_led_ws281x: bit: "0"
793 8987-8992 rgb_led_ws281x: bit: "0"
794 8992-8997 rgb_led_ws281x: bit: "0"
795 8997-9002 rgb_led_ws281x: bit: "0"
796 9002-9007 rgb_led_ws281x: bit: "0"
797 9007-9012 rgb_led_ws281x: bit: "0"
798 9012-9017 rgb_led_ws281x: bit: "0"
799 9017-9022 rgb_led_ws281x: bit: "0"
800 9022-9027 rgb_led_ws281x: bit: "0"
801 9027-9032 rgb_led_ws281x: bit: "0"
802 9032-9037 rgb_led_ws281x: bit: "0"
803 9037-9042 rgb_led_ws281x: bit: "0"
804 9042-9047 rgb_led_ws281x: bit: "0"
805 9047-9052 rgb_led_ws281x: bit: "0"
806 9052-9057 rgb_led_ws281x: bit: "0"
807 9057-9062 rgb_led_ws281x: bit: "0"
808 9062-9067 rgb_led_ws281x: bit: "0"
809 9067-9072 rgb_led_ws281x: bit: "0"
810 8952-8992 rgb_led_ws281x: g: "00"
811 8992-9032 rgb_led_ws281x: r: "00"
812 9032-9072 rgb_led_ws281x: b: "00"
813 8952-9072 rgb_led_ws281x: rgb: "#000000"
814 9072-9077 rgb_led_ws281x: bit: "0"
815 9077-9082 rgb_led_ws281x: bit: "0"
816 9082-9087 rgb_led_ws281x: bit: "0"
817 9087-9092 rgb_led_ws281x: bit: "0"
818 9092-9097 rgb_led_ws281x: bit: "0"
819 9097-9102 rgb_led_ws281x: bit: "0"
820 9102-9107 rgb_led_ws281x: bit: "0"
821 9107-9112 rgb_led_ws281x: bit: "0"
822 9112-9117 rgb_led_ws281x: bit: "0"
823 9117-9122 rgb_led_ws281x: bit: "0"
824 9122-9127 rgb_led_ws281x: bit: "0"
825 9127-9132 rgb_led_ws281x: bit: "0"
826 9132-9137 rgb_led_ws281x: bit: "0"
827 9137-9142 rgb_led_ws281x: bit: "0"
828 9142-9147 rgb_led_ws281x: bit: "0"
829 9147-9152 rgb_led_ws281x: bit: "0"
830 9152-9157 rgb_led_ws281x: bit: "0"
831 9157-9162 rgb_led_ws281x: bit: "0"
832 9162-9167 rgb_led_ws281x: bit: "0"
833 9167-9172 rgb_led_ws281x: bit: "0"
834 9172-9177 rgb_led_ws281x: bit: "0"
835 9177-9182 rgb_led_ws281x: bit: "0"
836 9182-9187 rgb_led_ws281x: bit: "0"
837 9187-9192 rgb_led_ws281x: bit: "0"
838 9072-9112 rgb_led_ws281x: g: "00"
839 9112-9152 rgb_led_ws281x: r: "00"
840 9152-9192 rgb_led_ws281x: b: "00"
841 9072-9192 rgb_led_ws281x: rgb: "#000000"
842 9192-9197 rgb_led_ws281x: bit: "0"
843 9197-9202 rgb_led_ws281x: bit: "0"
844 9202-9207 rgb_led_ws281x: bit: "0"
845 9207-9212 rgb_led_ws281x: bit: "0"
846 9212-9217 rgb_led_ws281x: bit: "0"
847 9217-9222 rgb_led_ws281x: bit: "0"
848 9222-9227 rgb_led_ws281x: bit: "0"
849 9227-9232 rgb_led_ws281x: bit: "1"
850 9232-9237 rgb_led_ws281x: bit: "0"
851 9237-9242 rgb_led_ws281x: bit: "0"
852 9242-9247 rgb_led_ws281x: bit: "0"
853 9247-9252 rgb_led_ws281x: bit: "0"
854 9252-9257 rgb_led_ws281x: bit: "0"
855 9257-9262 rgb_led_ws281x: bit: "0"
856 9262-9267 rgb_led_ws281x: bit: "0"
857 9267-9272 rgb_led_ws281x: bit: "0"
858 9272-9277 rgb_led_ws281x: bit: "0"
859 9277-9282 rgb_led_ws281x: bit: "0"
860 9282-9287 rgb_led_ws281x: bit: "0"
861 9287-9292 rgb_led_ws281x: bit: "0"
862 9292-9297 rgb_led_ws281x: bit: "0"
863 9297-9302 rgb_led_ws281x: bit: "0"
864 9302-9307 rgb_led_ws281x: bit: "0"
865 9307-9312 rgb_led_ws281x: bit: "0"
866 9192-9232 rgb_led_ws281x: g: "01"
867 9232-9272 rgb_led_ws281x: r: "00"
868 9272-9312 rgb_led_ws281x: b: "00"
869 9192-9312 rgb_led_ws281x: rgb: "#000100"
870 9312-9317 rgb_led_ws281x: bit: "0"
871 9317-9322 rgb_led_ws281x: bit: "0"
872 9322-9327 rgb_led_ws281x: bit: "0"
873 9327-9332 rgb_led_ws281x: bit: "0"
874 9332-9337 rgb_led_ws281x: bit: "0"
875 9337-9342 rgb_led_ws281x: bit: "0"
876 9342-9347 rgb_led_ws281x: bit: "1"
877 9347-9352 rgb_led_ws281x: bit: "1"
878 9352-9357 rgb_led_ws281x: bit: "0"
879 9357-9362 rgb_led_ws281x: bit: "0"
880 9362-9367 rgb_led_ws281x: bit: "0"
881 9367-9372 rgb_led_ws281x: bit: "0"
882 9372-9377 rgb_led_ws281x: bit: "0"
883 9377-9382 rgb_led_ws281x: bit: "0"
884 9382-9387 rgb_led_ws281x: bit: "0"
885 9387-9392 rgb_led_ws281x: bit: "0"
886 9392-9397 rgb_led_ws281x: bit: "0"
887 9397-9402 rgb_led_ws281x: bit: "0"
888 9402-9407 rgb_led_ws281x: bit: "0"
889 9407-9412 rgb_led_ws281x: bit: "0"
890 9412-9417 rgb_led_ws281x: bit: "0"
891 9417-9422 rgb_led_ws281x: bit: "0"
892 9422-9427 rgb_led_ws281x: bit: "1"
893 9427-9432 rgb_led_ws281x: bit: "1"
894 9312-9352 rgb_led_ws281x: g: "03"
895 9352-9392 rgb_led_ws281x: r: "00"
896 9392-9432 rgb_led_ws281x: b: "03"
897 9312-9432 rgb_led_ws281x: rgb: "#000303"
898 9432-9437 rgb_led_ws281x: bit: "0"
899 9437-9442 rgb_led_ws281x: bit: "0"
900 9442-9447 rgb_led_ws281x: bit: "0"
901 9447-9452 rgb_led_ws281x: bit: "0"
902 9452-9457 rgb_led_ws281x: bit: "0"
903 9457-9462 rgb_led_ws281x: bit: "1"
904 9462-9467 rgb_led_ws281x: bit: "1"
905 9467-9472 rgb_led_ws281x: bit: "1"
906 9472-9477 rgb_led_ws281x: bit: "0"
907 9477-9482 rgb_led_ws281x: bit: "0"
908 9482-9487 rgb_led_ws281x: bit: "0"
909 9487-9492 rgb_led_ws281x: bit: "0"
910 9492-9497 rgb_led_ws281x: bit: "0"
911 9497-9502 rgb_led_ws281x: bit: "0"
912 9502-9507 rgb_led_ws281x: bit: "0"
913 9507-9512 rgb_led_ws281x: bit: "0"
914 9512-9517 rgb_led_ws281x: bit: "0"
915 9517-9522 rgb_led_ws281x: bit: "0"
916 9522-9527 rgb_led_ws281x: bit: "0"
917 9527-9532 rgb_led_ws281x: bit: "0"
918 9532-9537 rgb_led_ws281x: bit: "0"
919 9537-9542 rgb_led_ws281x: bit: "1"
920 9542-9547 rgb_led_ws281x: bit: "1"
921 9547-9552 rgb_led_ws281x: bit: "1"
922 9432-9472 rgb_led_ws281x: g: "07"
923 9472-9512 rgb_led_ws281x: r: "00"
924 9512-9552 rgb_led_ws281x: b: "07"
925 9432-9552 rgb_led_ws281x: rgb: "#000707"
926 9552-9557 rgb_led_ws281x: bit: "0"
927 9557-9562 rgb_led_ws281x: bit: "0"
928 9562-9567 rgb_led_ws281x: bit: "0"
929 9567-9572 rgb_led_ws281x: bit: "0"
930 9572-9577 rgb_led_ws281x: bit: "1"
931 9577-9582 rgb_led_ws281x: bit: "1"
932 9582-9587 rgb_led_ws281x: bit: "1"
933 9587-9592 rgb_led_ws281x: bit: "0"
934 9592-9597 rgb_led_ws281x: bit: "0"
935 9597-9602 rgb_led_ws281x: bit: "0"
936 9602-9607 rgb_led_ws281x: bit: "0"
937 9607-9612 rgb_led_ws281x: bit: "0"
938 9612-9617 rgb_led_ws281x: bit: "0"
939 9617-9622 rgb_led_ws281x: bit: "0"
940 9622-9627 rgb_led_ws281x: bit: "0"
941 9627-9632 rgb_led_ws281x: bit: "0"
942 9632-9637 rgb_led_ws281x: bit: "0"
943 9637-9642 rgb_led_ws281x: bit: "0"
944 9642-9647 rgb_led_ws281x: bit: "0"
945 9647-9652 rgb_led_ws281x: bit: "0"
946 9652-9657 rgb_led_ws281x: bit: "1"
947 9657-9662 rgb_led_ws281x: bit: "1"
948 9662-9667 rgb_led_ws281x: bit: "0"
949 9667-9672 rgb_led_ws281x: bit: "1"
950 9552-9592 rgb_led_ws281x: g: "0e"
951 9592-9632 rgb_led_ws281x: r: "00"
952 9632-9672 rgb_led_ws281x: b: "0d"
953 9552-9672 rgb_led_ws281x: rgb: "#000e0d"
954 9672-9677 rgb_led_ws281x: bit: "0"
955 9677-9682 rgb_led_ws281x: bit: "0"
956 9682-9687 rgb_led_ws281x: bit: "0"
957 9687-9692 rgb_led_ws281x: bit: "1"
958 9692-9697 rgb_led_ws281x: bit: "0"
959 9697-9702 rgb_led_ws281x: bit: "1"
960 9702-9707 rgb_led_ws281x: bit: "1"
961 9707-9712 rgb_led_ws281x: bit: "0"
962 9712-9717 rgb_led_ws281x: bit: "0"
963 9717-9722 rgb_led_ws281x: bit: "0"
964 9722-9727 rgb_led_ws281x: bit: "0"
965 9727-9732 rgb_led_ws281x: bit: "0"
966 9732-9737 rgb_led_ws281x: bit: "0"
967 9737-9742 rgb_led_ws281x: bit: "0"
968 9742-9747 rgb_led_ws281x: bit: "0"
969 9747-9752 rgb_led_ws281x: bit: "0"
970 9752-9757 rgb_led_ws281x: bit: "0"
971 9757-9762 rgb_led_ws281x: bit: "0"
972 9762-9767 rgb_led_ws281x: bit: "0"
973 9767-9772 rgb_led_ws281x: bit: "1"
974 9772-9777 rgb_led_ws281x: bit: "0"
975 9777-9782 rgb_led_ws281x: bit: "1"
976 9782-9787 rgb_led_ws281x: bit: "0"
977 9787-9792 rgb_led_ws281x: bit: "1"
978 9672-9712 rgb_led_ws281x: g: "16"
979 9712-9752 rgb_led_ws281x: r: "00"
980 9752-9792 rgb_led_ws281x: b: "15"
981 9672-9792 rgb_led_ws281x: rgb: "#001615"
982 9792-9797 rgb_led_ws281x: bit: "0"
983 9797-9802 rgb_led_ws281x: bit: "0"
984 9802-9807 rgb_led_ws281x: bit: "1"
985 9807-9812 rgb_led_ws281x: bit: "0"
986 9812-9817 rgb_led_ws281x: bit: "0"
987 9817-9822 rgb_led_ws281x: bit: "0"
988 9822-9827 rgb_led_ws281x: bit: "1"
989 9827-9832 rgb_led_ws281x: bit: "0"
990 9832-9837 rgb_led_ws281x: bit: "0"
991 9837-9842 rgb_led_ws281x: bit: "0"
992 9842-9847 rgb_led_ws281x: bit: "0"
993 9847-9852 rgb_led_ws281x: bit: "0"
994 9852-9857 rgb_led_ws281x: bit: "0"
995 9857-9862 rgb_led_ws281x: bit: "0"
996 9862-9867 rgb_led_ws281x: bit: "0"
997 9867-9872 rgb_led_ws281x: bit: "0"
998 9872-9877 rgb_led_ws281x: bit: "0"
999 9877-9882 rgb_led_ws281x: bit: "0"
1000 9882-9887 rgb_led_ws281x: bit: "1"
1001 9887-9892 rgb_led_ws281x: bit: "0"
1002 9892-9897 rgb_led_ws281x: bit: "0"
1003 9897-9902 rgb_led_ws281x: bit: "0"
1004 9902-9907 rgb_led_ws281x: bit: "0"
1005 9907-9912 rgb_led_ws281x: bit: "0"
1006 9792-9832 rgb_led_ws281x: g: "22"
1007 9832-9872 rgb_led_ws281x: r: "00"
1008 9872-9912 rgb_led_ws281x: b: "20"
1009 9792-9912 rgb_led_ws281x: rgb: "#002220"
1010 9912-9917 rgb_led_ws281x: bit: "0"
1011 9917-9922 rgb_led_ws281x: bit: "0"
1012 9922-9927 rgb_led_ws281x: bit: "1"
1013 9927-9932 rgb_led_ws281x: bit: "1"
1014 9932-9937 rgb_led_ws281x: bit: "0"
1015 9937-9942 rgb_led_ws281x: bit: "0"
1016 9942-9947 rgb_led_ws281x: bit: "0"
1017 9947-9952 rgb_led_ws281x: bit: "1"
1018 9952-9957 rgb_led_ws281x: bit: "0"
1019 9957-9962 rgb_led_ws281x: bit: "0"
1020 9962-9967 rgb_led_ws281x: bit: "0"
1021 9967-9972 rgb_led_ws281x: bit: "0"
1022 9972-9977 rgb_led_ws281x: bit: "0"
1023 9977-9982 rgb_led_ws281x: bit: "0"
1024 9982-9987 rgb_led_ws281x: bit: "0"
1025 9987-9992 rgb_led_ws281x: bit: "0"
1026 9992-9997 rgb_led_ws281x: bit: "0"
1027 9997-10002 rgb_led_ws281x: bit: "0"
1028 10002-10007 rgb_led_ws281x: bit: "1"
1029 10007-10012 rgb_led_ws281x: bit: "0"
1030 10012-10017 rgb_led_ws281x: bit: "1"
1031 10017-10022 rgb_led_ws281x: bit: "1"
1032 10022-10027 rgb_led_ws281x: bit: "0"
1033 10027-10032 rgb_led_ws281x: bit: "1"
1034 9912-9952 rgb_led_ws281x: g: "31"
1035 9952-9992 rgb_led_ws281x: r: "00"
1036 9992-10032 rgb_led_ws281x: b: "2d"
1037 9912-10032 rgb_led_ws281x: rgb: "#00312d"
1038 10032-10037 rgb_led_ws281x: bit: "0"
1039 10037-10042 rgb_led_ws281x: bit: "0"
1040 10042-10047 rgb_led_ws281x: bit: "0"
1041 10047-10052 rgb_led_ws281x: bit: "0"
1042 10052-10057 rgb_led_ws281x: bit: "0"
1043 10057-10062 rgb_led_ws281x: bit: "0"
1044 10062-10067 rgb_led_ws281x: bit: "0"
1045 10067-10072 rgb_led_ws281x: bit: "0"
1046 10072-10077 rgb_led_ws281x: bit: "0"
1047 10077-10082 rgb_led_ws281x: bit: "0"
1048 10082-10087 rgb_led_ws281x: bit: "0"
1049 10087-10092 rgb_led_ws281x: bit: "0"
1050 10092-10097 rgb_led_ws281x: bit: "0"
1051 10097-10102 rgb_led_ws281x: bit: "0"
1052 10102-10107 rgb_led_ws281x: bit: "0"
1053 10107-10112 rgb_led_ws281x: bit: "0"
1054 10112-10117 rgb_led_ws281x: bit: "0"
1055 10117-10122 rgb_led_ws281x: bit: "0"
1056 10122-10127 rgb_led_ws281x: bit: "0"
1057 10127-10132 rgb_led_ws281x: bit: "0"
1058 10132-10137 rgb_led_ws281x: bit: "0"
1059 10137-10142 rgb_led_ws281x: bit: "0"
1060 10142-10147 rgb_led_ws281x: bit: "0"
1061 10147-10152 rgb_led_ws281x: bit: "0"
1062 10032-10072 rgb_led_ws281x: g: "00"
1063 10072-10112 rgb_led_ws281x: r: "00"
1064 10112-10152 rgb_led_ws281x: b: "00"
1065 10032-10152 rgb_led_ws281x: rgb: "#000000"
1066 10152-10157 rgb_led_ws281x: bit: "0"
1067 10157-10162 rgb_led_ws281x: bit: "0"
1068 10162-10167 rgb_led_ws281x: bit: "0"
1069 10167-10172 rgb_led_ws281x: bit: "0"
1070 10172-10177 rgb_led_ws281x: bit: "0"
1071 10177-10182 rgb_led_ws281x: bit: "0"
1072 10182-10187 rgb_led_ws281x: bit: "0"
1073 10187-10192 rgb_led_ws281x: bit: "0"
1074 10192-10197 rgb_led_ws281x: bit: "0"
1075 10197-10202 rgb_led_ws281x: bit: "0"
1076 10202-10207 rgb_led_ws281x: bit: "0"
1077 10207-10212 rgb_led_ws281x: bit: "0"
1078 10212-10217 rgb_led_ws281x: bit: "0"
1079 10217-10222 rgb_led_ws281x: bit: "0"
1080 10222-10227 rgb_led_ws281x: bit: "0"
1081 10227-10232 rgb_led_ws281x: bit: "0"
1082 10232-10237 rgb_led_ws281x: bit: "0"
1083 10237-10242 rgb_led_ws281x: bit: "0"
1084 10242-10247 rgb_led_ws281x: bit: "0"
1085 10247-10252 rgb_led_ws281x: bit: "0"
1086 10252-10257 rgb_led_ws281x: bit: "0"
1087 10257-10262 rgb_led_ws281x: bit: "0"
1088 10262-10267 rgb_led_ws281x: bit: "0"
1089 10267-10272 rgb_led_ws281x: bit: "0"
1090 10152-10192 rgb_led_ws281x: g: "00"
1091 10192-10232 rgb_led_ws281x: r: "00"
1092 10232-10272 rgb_led_ws281x: b: "00"
1093 10152-10272 rgb_led_ws281x: rgb: "#000000"
1094 10272-10277 rgb_led_ws281x: bit: "0"
1095 10277-10282 rgb_led_ws281x: bit: "0"
1096 10282-10287 rgb_led_ws281x: bit: "0"
1097 10287-10292 rgb_led_ws281x: bit: "0"
1098 10292-10297 rgb_led_ws281x: bit: "0"
1099 10297-10302 rgb_led_ws281x: bit: "0"
1100 10302-10307 rgb_led_ws281x: bit: "0"
1101 10307-10312 rgb_led_ws281x: bit: "0"
1102 10312-10317 rgb_led_ws281x: bit: "0"
1103 10317-10322 rgb_led_ws281x: bit: "0"
1104 10322-10327 rgb_led_ws281x: bit: "0"
1105 10327-10332 rgb_led_ws281x: bit: "0"
1106 10332-10337 rgb_led_ws281x: bit: "0"
1107 10337-10342 rgb_led_ws281x: bit: "0"
1108 10342-10347 rgb_led_ws281x: bit: "0"
1109 10347-10352 rgb_led_ws281x: bit: "0"
1110 10352-10357 rgb_led_ws281x: bit: "0"
1111 10357-10362 rgb_led_ws281x: bit: "0"
1112 10362-10367 rgb_led_ws281x: bit: "0"
1113 10367-10372 rgb_led_ws281x: bit: "0"
1114 10372-10377 rgb_led_ws281x: bit: "0"
1115 10377-10382 rgb_led_ws281x: bit: "0"
1116 10382-10387 rgb_led_ws281x: bit: "0"
1117 10387-10392 rgb_led_ws281x: bit: "0"
1118 10272-10312 rgb_led_ws281x: g: "00"
1119 10312-10352 rgb_led_ws281x: r: "00"
1120 10352-10392 rgb_led_ws281x: b: "00"
1121 10272-10392 rgb_led_ws281x: rgb: "#000000"
1122 10392-10397 rgb_led_ws281x: bit: "0"
1123 10397-10402 rgb_led_ws281x: bit: "0"
1124 10402-10407 rgb_led_ws281x: bit: "0"
1125 10407-10412 rgb_led_ws281x: bit: "0"
1126 10412-10417 rgb_led_ws281x: bit: "0"
1127 10417-10422 rgb_led_ws281x: bit: "0"
1128 10422-10427 rgb_led_ws281x: bit: "0"
1129 10427-10432 rgb_led_ws281x: bit: "0"
1130 10432-10437 rgb_led_ws281x: bit: "0"
1131 10437-10442 rgb_led_ws281x: bit: "0"
1132 10442-10447 rgb_led_ws281x: bit: "0"
1133 10447-10452 rgb_led_ws281x: bit: "0"
1134 10452-10457 rgb_led_ws281x: bit: "0"
1135 10457-10462 rgb_led_ws281x: bit: "0"
1136 10462-10467 rgb_led_ws281x: bit: "0"
1137 10467-10472 rgb_led_ws281x: bit: "0"
1138 10472-10477 rgb_led_ws281x: bit: "0"
1139 10477-10482 rgb_led_ws281x: bit: "0"
1140 10482-10487 rgb_led_ws281x: bit: "0"
1141 10487-10492 rgb_led_ws281x: bit: "0"
1142 10492-10497 rgb_led_ws281x: bit: "0"
1143 10497-10502 rgb_led_ws281x: bit: "0"
1144 10502-10507 rgb_led_ws281x: bit: "0"
1145 10507-10512 rgb_led_ws281x: bit: "0"
1146 10392-10432 rgb_led_ws281x: g: "00"
1147 10432-10472 rgb_led_ws281x: r: "00"
1148 10472-10512 rgb_led_ws281x: b: "00"
1149 10392-10512 rgb_led_ws281x: rgb: "#000000"
1150 10512-10517 rgb_led_ws281x: bit: "0"
1151 10517-10522 rgb_led_ws281x: bit: "0"
1152 10522-10527 rgb_led_ws281x: bit: "0"
1153 10527-10532 rgb_led_ws281x: bit: "0"
1154 10532-10537 rgb_led_ws281x: bit: "0"
1155 10537-10542 rgb_led_ws281x: bit: "0"
1156 10542-10547 rgb_led_ws281x: bit: "0"
1157 10547-10552 rgb_led_ws281x: bit: "0"
1158 10552-10557 rgb_led_ws281x: bit: "0"
1159 10557-10562 rgb_led_ws281x: bit: "0"
1160 10562-10567 rgb_led_ws281x: bit: "0"
1161 10567-10572 rgb_led_ws281x: bit: "0"
1162 10572-10577 rgb_led_ws281x: bit: "0"
1163 10577-10582 rgb_led_ws281x: bit: "0"
1164 10582-10587 rgb_led_ws281x: bit: "0"
1165 10587-10592 rgb_led_ws281x: bit: "0"
1166 10592-10597 rgb_led_ws281x: bit: "0"
1167 10597-10602 rgb_led_ws281x: bit: "0"
1168 10602-10607 rgb_led_ws281x: bit: "0"
1169 10607-10612 rgb_led_ws281x: bit: "0"
1170 10612-10617 rgb_led_ws281x: bit: "0"
1171 10617-10622 rgb_led_ws281x: bit: "0"
1172 10622-10627 rgb_led_ws281x: bit: "0"
1173 10627-10632 rgb_led_ws281x: bit: "0"
1174 10512-10552 rgb_led_ws281x: g: "00"
1175 10552-10592 rgb_led_ws281x: r: "00"
1176 10592-10632 rgb_led_ws281x: b: "00"
1177 10512-10632 rgb_led_ws281x: rgb: "#000000"
1178 10632-10637 rgb_led_ws281x: bit: "0"
1179 10637-10642 rgb_led_ws281x: bit: "0"
1180 10642-10647 rgb_led_ws281x: bit: "0"
1181 10647-10652 rgb_led_ws281x: bit: "0"
1182 10652-10657 rgb_led_ws281x: bit: "0"
1183 10657-10662 rgb_led_ws281x: bit: "0"
1184 10662-10667 rgb_led_ws281x: bit: "0"
1185 10667-10672 rgb_led_ws281x: bit: "0"
1186 10672-10677 rgb_led_ws281x: bit: "0"
1187 10677-10682 rgb_led_ws281x: bit: "0"
1188 10682-10687 rgb_led_ws281x: bit: "0"
1189 10687-10692 rgb_led_ws281x: bit: "0"
1190 10692-10697 rgb_led_ws281x: bit: "0"
1191 10697-10702 rgb_led_ws281x: bit: "0"
1192 10702-10707 rgb_led_ws281x: bit: "0"
1193 10707-10712 rgb_led_ws281x: bit: "0"
1194 10712-10717 rgb_led_ws281x: bit: "0"
1195 10717-10722 rgb_led_ws281x: bit: "0"
1196 10722-10727 rgb_led_ws281x: bit: "0"
1197 10727-10732 rgb_led_ws281x: bit: "0"
1198 10732-10737 rgb_led_ws281x: bit: "0"
1199 10737-10742 rgb_led_ws281x: bit: "0"
1200 10742-10747 rgb_led_ws281x: bit: "0"
1201 10747-10752 rgb_led_ws281x: bit: "0"
1202 10632-10672 rgb_led_ws281x: g: "00"
1203 10672-10712 rgb_led_ws281x: r: "00"
1204 10712-10752 rgb_led_ws281x: b: "00"
1205 10632-10752 rgb_led_ws281x: rgb: "#000000"
1206 10752-10757 rgb_led_ws281x: bit: "0"
1207 10757-10762 rgb_led_ws281x: bit: "0"
1208 10762-10767 rgb_led_ws281x: bit: "0"
1209 10767-10772 rgb_led_ws281x: bit: "0"
1210 10772-10777 rgb_led_ws281x: bit: "0"
1211 10777-10782 rgb_led_ws281x: bit: "0"
1212 10782-10787 rgb_led_ws281x: bit: "0"
1213 10787-10792 rgb_led_ws281x: bit: "0"
1214 10792-10797 rgb_led_ws281x: bit: "0"
1215 10797-10802 rgb_led_ws281x: bit: "0"
1216 10802-10807 rgb_led_ws281x: bit: "0"
1217 10807-10812 rgb_led_ws281x: bit: "0"
1218 10812-10817 rgb_led_ws281x: bit: "0"
1219 10817-10822 rgb_led_ws281x: bit: "0"
1220 10822-10827 rgb_led_ws281x: bit: "0"
1221 10827-10832 rgb_led_ws281x: bit: "0"
1222 10832-10837 rgb_led_ws281x: bit: "0"
1223 10837-10842 rgb_led_ws281x: bit: "0"
1224 10842-10847 rgb_led_ws281x: bit: "0"
1225 10847-10852 rgb_led_ws281x: bit: "0"
1226 10852-10857 rgb_led_ws281x: bit: "0"
1227 10857-10862 rgb_led_ws281x: bit: "0"
1228 10862-10867 rgb_led_ws281x: bit: "0"
1229 10867-10872 rgb_led_ws281x: bit: "0"
1230 10752-10792 rgb_led_ws281x: g: "00"
1231 10792-10832 rgb_led_ws281x: r: "00"
1232 10832-10872 rgb_led_ws281x: b: "00"
1233 10752-10872 rgb_led_ws281x: rgb: "#000000"
1234 10872-10877 rgb_led_ws281x: bit: "0"
1235 10877-10882 rgb_led_ws281x: bit: "0"
1236 10882-10887 rgb_led_ws281x: bit: "0"
1237 10887-10892 rgb_led_ws281x: bit: "0"
1238 10892-10897 rgb_led_ws281x: bit: "0"
1239 10897-10902 rgb_led_ws281x: bit: "0"
1240 10902-10907 rgb_led_ws281x: bit: "0"
1241 10907-10912 rgb_led_ws281x: bit: "0"
1242 10912-10917 rgb_led_ws281x: bit: "0"
1243 10917-10922 rgb_led_ws281x: bit: "0"
1244 10922-10927 rgb_led_ws281x: bit: "0"
1245 10927-10932 rgb_led_ws281x: bit: "0"
1246 10932-10937 rgb_led_ws281x: bit: "0"
1247 10937-10942 rgb_led_ws281x: bit: "0"
1248 10942-10947 rgb_led_ws281x: bit: "0"
1249 10947-10952 rgb_led_ws281x: bit: "0"
1250 10952-10957 rgb_led_ws281x: bit: "0"
1251 10957-10962 rgb_led_ws281x: bit: "0"
1252 10962-10967 rgb_led_ws281x: bit: "0"
1253 10967-10972 rgb_led_ws281x: bit: "0"
1254 10972-10977 rgb_led_ws281x: bit: "0"
1255 10977-10982 rgb_led_ws281x: bit: "0"
1256 10982-10987 rgb_led_ws281x: bit: "0"
1257 10987-10992 rgb_led_ws281x: bit: "0"
1258 10872-10912 rgb_led_ws281x: g: "00"
1259 10912-10952 rgb_led_ws281x: r: "00"
1260 10952-10992 rgb_led_ws281x: b: "00"
1261 10872-10992 rgb_led_ws281x: rgb: "#000000"
1262 10992-10997 rgb_led_ws281x: bit: "0"
1263 10997-11002 rgb_led_ws281x: bit: "0"
1264 11002-11007 rgb_led_ws281x: bit: "0"
1265 11007-11012 rgb_led_ws281x: bit: "0"
1266 11012-11017 rgb_led_ws281x: bit: "0"
1267 11017-11022 rgb_led_ws281x: bit: "0"
1268 11022-11027 rgb_led_ws281x: bit: "0"
1269 11027-11032 rgb_led_ws281x: bit: "0"
1270 11032-11037 rgb_led_ws281x: bit: "0"
1271 11037-11042 rgb_led_ws281x: bit: "0"
1272 11042-11047 rgb_led_ws281x: bit: "0"
1273 11047-11052 rgb_led_ws281x: bit: "0"
1274 11052-11057 rgb_led_ws281x: bit: "0"
1275 11057-11062 rgb_led_ws281x: bit: "0"
1276 11062-11067 rgb_led_ws281x: bit: "0"
1277 11067-11072 rgb_led_ws281x: bit: "0"
1278 11072-11077 rgb_led_ws281x: bit: "0"
1279 11077-11082 rgb_led_ws281x: bit: "0"
1280 11082-11087 rgb_led_ws281x: bit: "0"
1281 11087-11092 rgb_led_ws281x: bit: "0"
1282 11092-11097 rgb_led_ws281x: bit: "0"
1283 11097-11102 rgb_led_ws281x: bit: "0"
1284 11102-11107 rgb_led_ws281x: bit: "0"
1285 11107-11112 rgb_led_ws281x: bit: "0"
1286 10992-11032 rgb_led_ws281x: g: "00"
1287 11032-11072 rgb_led_ws281x: r: "00"
1288 11072-11112 rgb_led_ws281x: b: "00"
1289 10992-11112 rgb_led_ws281x: rgb: "#000000"
1290 11112-11117 rgb_led_ws281x: bit: "0"
1291 11117-11122 rgb_led_ws281x: bit: "0"
1292 11122-11127 rgb_led_ws281x: bit: "0"
1293 11127-11132 rgb_led_ws281x: bit: "0"
1294 11132-11137 rgb_led_ws281x: bit: "0"
1295 11137-11142 rgb_led_ws281x: bit: "0"
1296 11142-11147 rgb_led_ws281x: bit: "0"
1297 11147-11152 rgb_led_ws281x: bit: "0"
1298 11152-11157 rgb_led_ws281x: bit: "0"
1299 11157-11162 rgb_led_ws281x: bit: "0"
1300 11162-11167 rgb_led_ws281x: bit: "0"
1301 11167-11172 rgb_led_ws281x: bit: "0"
1302 11172-11177 rgb_led_ws281x: bit: "0"
1303 11177-11182 rgb_led_ws281x: bit: "0"
1304 11182-11187 rgb_led_ws281x: bit: "0"
1305 11187-11192 rgb_led_ws281x: bit: "0"
1306 11192-11197 rgb_led_ws281x: bit: "0"
1307 11197-11202 rgb_led_ws281x: bit: "0"
1308 11202-11207 rgb_led_ws281x: bit: "0"
1309 11207-11212 rgb_led_ws281x: bit: "0"
1310 11212-11217 rgb_led_ws281x: bit: "0"
1311 11217-11222 rgb_led_ws281x: bit: "0"
1312 11222-11227 rgb_led_ws281x: bit: "0"
1313 11227-11232 rgb_led_ws281x: bit: "0"
1314 11112-11152 rgb_led_ws281x: g: "00"
1315 11152-11192 rgb_led_ws281x: r: "00"
1316 11192-11232 rgb_led_ws281x: b: "00"
1317 11112-11232 rgb_led_ws281x: rgb: "#000000"
1318 11232-11237 rgb_led_ws281x: bit: "0"
1319 11237-11242 rgb_led_ws281x: bit: "0"
1320 11242-11247 rgb_led_ws281x: bit: "0"
1321 11247-11252 rgb_led_ws281x: bit: "0"
1322 11252-11257 rgb_led_ws281x: bit: "0"
1323 11257-11262 rgb_led_ws281x: bit: "0"
1324 11262-11267 rgb_led_ws281x: bit: "0"
1325 11267-11272 rgb_led_ws281x: bit: "0"
1326 11272-11277 rgb_led_ws281x: bit: "0"
1327 11277-11282 rgb_led_ws281x: bit: "0"
1328 11282-11287 rgb_led_ws281x: bit: "0"
1329 11287-11292 rgb_led_ws281x: bit: "0"
1330 11292-11297 rgb_led_ws281x: bit: "0"
1331 11297-11302 rgb_led_ws281x: bit: "0"
1332 11302-11307 rgb_led_ws281x: bit: "0"
1333 11307-11312 rgb_led_ws281x: bit: "0"
1334 11312-11317 rgb_led_ws281x: bit: "0"
1335 11317-11322 rgb_led_ws281x: bit: "0"
1336 11322-11327 rgb_led_ws281x: bit: "0"
1337 11327-11332 rgb_led_ws281x: bit: "0"
1338 11332-11337 rgb_led_ws281x: bit: "0"
1339 11337-11342 rgb_led_ws281x: bit: "0"
1340 11342-11347 rgb_led_ws281x: bit: "0"
1341 11232-11272 rgb_led_ws281x: g: "00"
1342 11272-11312 rgb_led_ws281x: r: "00"
1343 11312-11348 rgb_led_ws281x: b: "00"
1344 11232-11348 rgb_led_ws281x: rgb: "#000000"
1345 11347-11348 rgb_led_ws281x: bit: "0"
1346 11348-11549 rgb_led_ws281x: reset: "RESET" "RST" "R"
1347 15255-15260 rgb_led_ws281x: bit: "0"
1348 15260-15265 rgb_led_ws281x: bit: "0"
1349 15265-15270 rgb_led_ws281x: bit: "0"
1350 15270-15275 rgb_led_ws281x: bit: "0"
1351 15275-15280 rgb_led_ws281x: bit: "0"
1352 15280-15285 rgb_led_ws281x: bit: "0"
1353 15285-15290 rgb_led_ws281x: bit: "0"
1354 15290-15295 rgb_led_ws281x: bit: "0"
1355 15295-15300 rgb_led_ws281x: bit: "0"
1356 15300-15305 rgb_led_ws281x: bit: "0"
1357 15305-15310 rgb_led_ws281x: bit: "0"
1358 15310-15315 rgb_led_ws281x: bit: "0"
1359 15315-15320 rgb_led_ws281x: bit: "0"
1360 15320-15325 rgb_led_ws281x: bit: "0"
1361 15325-15330 rgb_led_ws281x: bit: "0"
1362 15330-15335 rgb_led_ws281x: bit: "0"
1363 15335-15340 rgb_led_ws281x: bit: "0"
1364 15340-15345 rgb_led_ws281x: bit: "0"
1365 15345-15350 rgb_led_ws281x: bit: "0"
1366 15350-15355 rgb_led_ws281x: bit: "0"
1367 15355-15360 rgb_led_ws281x: bit: "0"
1368 15360-15365 rgb_led_ws281x: bit: "0"
1369 15365-15370 rgb_led_ws281x: bit: "0"
1370 15370-15375 rgb_led_ws281x: bit: "0"
1371 15255-15295 rgb_led_ws281x: g: "00"
1372 15295-15335 rgb_led_ws281x: r: "00"
1373 15335-15375 rgb_led_ws281x: b: "00"
1374 15255-15375 rgb_led_ws281x: rgb: "#000000"
1375 15375-15380 rgb_led_ws281x: bit: "0"
1376 15380-15385 rgb_led_ws281x: bit: "0"
1377 15385-15390 rgb_led_ws281x: bit: "0"
1378 15390-15395 rgb_led_ws281x: bit: "0"
1379 15395-15400 rgb_led_ws281x: bit: "0"
1380 15400-15405 rgb_led_ws281x: bit: "0"
1381 15405-15410 rgb_led_ws281x: bit: "0"
1382 15410-15415 rgb_led_ws281x: bit: "0"
1383 15415-15420 rgb_led_ws281x: bit: "0"
1384 15420-15425 rgb_led_ws281x: bit: "0"
1385 15425-15430 rgb_led_ws281x: bit: "0"
1386 15430-15435 rgb_led_ws281x: bit: "0"
1387 15435-15440 rgb_led_ws281x: bit: "0"
1388 15440-15445 rgb_led_ws281x: bit: "0"
1389 15445-15450 rgb_led_ws281x: bit: "0"
1390 15450-15455 rgb_led_ws281x: bit: "0"
1391 15455-15460 rgb_led_ws281x: bit: "0"
1392 15460-15465 rgb_led_ws281x: bit: "0"
1393 15465-15470 rgb_led_ws281x: bit: "0"
1394 15470-15475 rgb_led_ws281x: bit: "0"
1395 15475-15480 rgb_led_ws281x: bit: "0"
1396 15480-15485 rgb_led_ws281x: bit: "0"
1397 15485-15490 rgb_led_ws281x: bit: "0"
1398 15490-15495 rgb_led_ws281x: bit: "0"
1399 15375-15415 rgb_led_ws281x: g: "00"
1400 15415-15455 rgb_led_ws281x: r: "00"
1401 15455-15495 rgb_led_ws281x: b: "00"
1402 15375-15495 rgb_led_ws281x: rgb: "#000000"
1403 15495-15500 rgb_led_ws281x: bit: "0"
1404 15500-15505 rgb_led_ws281x: bit: "0"
1405 15505-15510 rgb_led_ws281x: bit: "0"
1406 15510-15515 rgb_led_ws281x: bit: "0"
1407 15515-15520 rgb_led_ws281x: bit: "0"
1408 15520-15525 rgb_led_ws281x: bit: "0"
1409 15525-15530 rgb_led_ws281x: bit: "0"
1410 15530-15535 rgb_led_ws281x: bit: "0"
1411 15535-15540 rgb_led_ws281x: bit: "0"
1412 15540-15545 rgb_led_ws281x: bit: "0"
1413 15545-15550 rgb_led_ws281x: bit: "0"
1414 15550-15555 rgb_led_ws281x: bit: "0"
1415 15555-15560 rgb_led_ws281x: bit: "0"
1416 15560-15565 rgb_led_ws281x: bit: "0"
1417 15565-15570 rgb_led_ws281x: bit: "0"
1418 15570-15575 rgb_led_ws281x: bit: "0"
1419 15575-15580 rgb_led_ws281x: bit: "0"
1420 15580-15585 rgb_led_ws281x: bit: "0"
1421 15585-15590 rgb_led_ws281x: bit: "0"
1422 15590-15595 rgb_led_ws281x: bit: "0"
1423 15595-15600 rgb_led_ws281x: bit: "0"
1424 15600-15605 rgb_led_ws281x: bit: "0"
1425 15605-15610 rgb_led_ws281x: bit: "0"
1426 15610-15615 rgb_led_ws281x: bit: "0"
1427 15495-15535 rgb_led_ws281x: g: "00"
1428 15535-15575 rgb_led_ws281x: r: "00"
1429 15575-15615 rgb_led_ws281x: b: "00"
1430 15495-15615 rgb_led_ws281x: rgb: "#000000"
1431 15615-15620 rgb_led_ws281x: bit: "0"
1432 15620-15625 rgb_led_ws281x: bit: "0"
1433 15625-15630 rgb_led_ws281x: bit: "0"
1434 15630-15635 rgb_led_ws281x: bit: "0"
1435 15635-15640 rgb_led_ws281x: bit: "0"
1436 15640-15645 rgb_led_ws281x: bit: "0"
1437 15645-15650 rgb_led_ws281x: bit: "0"
1438 15650-15655 rgb_led_ws281x: bit: "0"
1439 15655-15660 rgb_led_ws281x: bit: "0"
1440 15660-15665 rgb_led_ws281x: bit: "0"
1441 15665-15670 rgb_led_ws281x: bit: "0"
1442 15670-15675 rgb_led_ws281x: bit: "0"
1443 15675-15680 rgb_led_ws281x: bit: "0"
1444 15680-15685 rgb_led_ws281x: bit: "0"
1445 15685-15690 rgb_led_ws281x: bit: "0"
1446 15690-15695 rgb_led_ws281x: bit: "0"
1447 15695-15700 rgb_led_ws281x: bit: "0"
1448 15700-15705 rgb_led_ws281x: bit: "0"
1449 15705-15710 rgb_led_ws281x: bit: "0"
1450 15710-15715 rgb_led_ws281x: bit: "0"
1451 15715-15720 rgb_led_ws281x: bit: "0"
1452 15720-15725 rgb_led_ws281x: bit: "0"
1453 15725-15730 rgb_led_ws281x: bit: "0"
1454 15730-15735 rgb_led_ws281x: bit: "0"
1455 15615-15655 rgb_led_ws281x: g: "00"
1456 15655-15695 rgb_led_ws281x: r: "00"
1457 15695-15735 rgb_led_ws281x: b: "00"
1458 15615-15735 rgb_led_ws281x: rgb: "#000000"
1459 15735-15740 rgb_led_ws281x: bit: "0"
1460 15740-15745 rgb_led_ws281x: bit: "0"
1461 15745-15750 rgb_led_ws281x: bit: "0"
1462 15750-15755 rgb_led_ws281x: bit: "0"
1463 15755-15760 rgb_led_ws281x: bit: "0"
1464 15760-15765 rgb_led_ws281x: bit: "0"
1465 15765-15770 rgb_led_ws281x: bit: "0"
1466 15770-15775 rgb_led_ws281x: bit: "0"
1467 15775-15780 rgb_led_ws281x: bit: "0"
1468 15780-15785 rgb_led_ws281x: bit: "0"
1469 15785-15790 rgb_led_ws281x: bit: "0"
1470 15790-15795 rgb_led_ws281x: bit: "0"
1471 15795-15800 rgb_led_ws281x: bit: "0"
1472 15800-15805 rgb_led_ws281x: bit: "0"
1473 15805-15810 rgb_led_ws281x: bit: "0"
1474 15810-15815 rgb_led_ws281x: bit: "0"
1475 15815-15820 rgb_led_ws281x: bit: "0"
1476 15820-15825 rgb_led_ws281x: bit: "0"
1477 15825-15830 rgb_led_ws281x: bit: "0"
1478 15830-15835 rgb_led_ws281x: bit: "0"
1479 15835-15840 rgb_led_ws281x: bit: "0"
1480 15840-15845 rgb_led_ws281x: bit: "0"
1481 15845-15850 rgb_led_ws281x: bit: "0"
1482 15850-15855 rgb_led_ws281x: bit: "0"
1483 15735-15775 rgb_led_ws281x: g: "00"
1484 15775-15815 rgb_led_ws281x: r: "00"
1485 15815-15855 rgb_led_ws281x: b: "00"
1486 15735-15855 rgb_led_ws281x: rgb: "#000000"
1487 15855-15860 rgb_led_ws281x: bit: "0"
1488 15860-15865 rgb_led_ws281x: bit: "0"
1489 15865-15870 rgb_led_ws281x: bit: "0"
1490 15870-15875 rgb_led_ws281x: bit: "0"
1491 15875-15880 rgb_led_ws281x: bit: "0"
1492 15880-15885 rgb_led_ws281x: bit: "0"
1493 15885-15890 rgb_led_ws281x: bit: "0"
1494 15890-15895 rgb_led_ws281x: bit: "0"
1495 15895-15900 rgb_led_ws281x: bit: "0"
1496 15900-15905 rgb_led_ws281x: bit: "0"
1497 15905-15910 rgb_led_ws281x: bit: "0"
1498 15910-15915 rgb_led_ws281x: bit: "0"
1499 15915-15920 rgb_led_ws281x: bit: "0"
1500 15920-15925 rgb_led_ws281x: bit: "0"
1501 15925-15930 rgb_led_ws281x: bit: "0"
1502 15930-15935 rgb_led_ws281x: bit: "0"
1503 15935-15940 rgb_led_ws281x: bit: "0"
1504 15940-15945 rgb_led_ws281x: bit: "0"
1505 15945-15950 rgb_led_ws281x: bit: "0"
1506 15950-15955 rgb_led_ws281x: bit: "0"
1507 15955-15960 rgb_led_ws281x: bit: "0"
1508 15960-15965 rgb_led_ws281x: bit: "0"
1509 15965-15970 rgb_led_ws281x: bit: "0"
1510 15970-15975 rgb_led_ws281x: bit: "0"
1511 15855-15895 rgb_led_ws281x: g: "00"
1512 15895-15935 rgb_led_ws281x: r: "00"
1513 15935-15975 rgb_led_ws281x: b: "00"
1514 15855-15975 rgb_led_ws281x: rgb: "#000000"
1515 15975-15980 rgb_led_ws281x: bit: "0"
1516 15980-15985 rgb_led_ws281x: bit: "0"
1517 15985-15990 rgb_led_ws281x: bit: "0"
1518 15990-15995 rgb_led_ws281x: bit: "0"
1519 15995-16000 rgb_led_ws281x: bit: "0"
1520 16000-16005 rgb_led_ws281x: bit: "0"
1521 16005-16010 rgb_led_ws281x: bit: "0"
1522 16010-16015 rgb_led_ws281x: bit: "0"
1523 16015-16020 rgb_led_ws281x: bit: "0"
1524 16020-16025 rgb_led_ws281x: bit: "0"
1525 16025-16030 rgb_led_ws281x: bit: "0"
1526 16030-16035 rgb_led_ws281x: bit: "0"
1527 16035-16040 rgb_led_ws281x: bit: "0"
1528 16040-16045 rgb_led_ws281x: bit: "0"
1529 16045-16050 rgb_led_ws281x: bit: "0"
1530 16050-16055 rgb_led_ws281x: bit: "0"
1531 16055-16060 rgb_led_ws281x: bit: "0"
1532 16060-16065 rgb_led_ws281x: bit: "0"
1533 16065-16070 rgb_led_ws281x: bit: "0"
1534 16070-16075 rgb_led_ws281x: bit: "0"
1535 16075-16080 rgb_led_ws281x: bit: "0"
1536 16080-16085 rgb_led_ws281x: bit: "0"
1537 16085-16090 rgb_led_ws281x: bit: "0"
1538 16090-16095 rgb_led_ws281x: bit: "0"
1539 15975-16015 rgb_led_ws281x: g: "00"
1540 16015-16055 rgb_led_ws281x: r: "00"
1541 16055-16095 rgb_led_ws281x: b: "00"
1542 15975-16095 rgb_led_ws281x: rgb: "#000000"
1543 16095-16100 rgb_led_ws281x: bit: "0"
1544 16100-16105 rgb_led_ws281x: bit: "0"
1545 16105-16110 rgb_led_ws281x: bit: "0"
1546 16110-16115 rgb_led_ws281x: bit: "0"
1547 16115-16120 rgb_led_ws281x: bit: "0"
1548 16120-16125 rgb_led_ws281x: bit: "0"
1549 16125-16130 rgb_led_ws281x: bit: "1"
1550 16130-16135 rgb_led_ws281x: bit: "1"
1551 16135-16140 rgb_led_ws281x: bit: "0"
1552 16140-16145 rgb_led_ws281x: bit: "0"
1553 16145-16150 rgb_led_ws281x: bit: "0"
1554 16150-16155 rgb_led_ws281x: bit: "0"
1555 16155-16160 rgb_led_ws281x: bit: "0"
1556 16160-16165 rgb_led_ws281x: bit: "0"
1557 16165-16170 rgb_led_ws281x: bit: "0"
1558 16170-16175 rgb_led_ws281x: bit: "0"
1559 16175-16180 rgb_led_ws281x: bit: "0"
1560 16180-16185 rgb_led_ws281x: bit: "0"
1561 16185-16190 rgb_led_ws281x: bit: "0"
1562 16190-16195 rgb_led_ws281x: bit: "0"
1563 16195-16200 rgb_led_ws281x: bit: "0"
1564 16200-16205 rgb_led_ws281x: bit: "0"
1565 16205-16210 rgb_led_ws281x: bit: "1"
1566 16210-16215 rgb_led_ws281x: bit: "0"
1567 16095-16135 rgb_led_ws281x: g: "03"
1568 16135-16175 rgb_led_ws281x: r: "00"
1569 16175-16215 rgb_led_ws281x: b: "02"
1570 16095-16215 rgb_led_ws281x: rgb: "#000302"
1571 16215-16220 rgb_led_ws281x: bit: "0"
1572 16220-16225 rgb_led_ws281x: bit: "0"
1573 16225-16230 rgb_led_ws281x: bit: "0"
1574 16230-16235 rgb_led_ws281x: bit: "0"
1575 16235-16240 rgb_led_ws281x: bit: "0"
1576 16240-16245 rgb_led_ws281x: bit: "1"
1577 16245-16250 rgb_led_ws281x: bit: "1"
1578 16250-16255 rgb_led_ws281x: bit: "1"
1579 16255-16260 rgb_led_ws281x: bit: "0"
1580 16260-16265 rgb_led_ws281x: bit: "0"
1581 16265-16270 rgb_led_ws281x: bit: "0"
1582 16270-16275 rgb_led_ws281x: bit: "0"
1583 16275-16280 rgb_led_ws281x: bit: "0"
1584 16280-16285 rgb_led_ws281x: bit: "0"
1585 16285-16290 rgb_led_ws281x: bit: "0"
1586 16290-16295 rgb_led_ws281x: bit: "0"
1587 16295-16300 rgb_led_ws281x: bit: "0"
1588 16300-16305 rgb_led_ws281x: bit: "0"
1589 16305-16310 rgb_led_ws281x: bit: "0"
1590 16310-16315 rgb_led_ws281x: bit: "0"
1591 16315-16320 rgb_led_ws281x: bit: "0"
1592 16320-16325 rgb_led_ws281x: bit: "1"
1593 16325-16330 rgb_led_ws281x: bit: "1"
1594 16330-16335 rgb_led_ws281x: bit: "0"
1595 16215-16255 rgb_led_ws281x: g: "07"
1596 16255-16295 rgb_led_ws281x: r: "00"
1597 16295-16335 rgb_led_ws281x: b: "06"
1598 16215-16335 rgb_led_ws281x: rgb: "#000706"
1599 16335-16340 rgb_led_ws281x: bit: "0"
1600 16340-16345 rgb_led_ws281x: bit: "0"
1601 16345-16350 rgb_led_ws281x: bit: "0"
1602 16350-16355 rgb_led_ws281x: bit: "0"
1603 16355-16360 rgb_led_ws281x: bit: "1"
1604 16360-16365 rgb_led_ws281x: bit: "1"
1605 16365-16370 rgb_led_ws281x: bit: "0"
1606 16370-16375 rgb_led_ws281x: bit: "1"
1607 16375-16380 rgb_led_ws281x: bit: "0"
1608 16380-16385 rgb_led_ws281x: bit: "0"
1609 16385-16390 rgb_led_ws281x: bit: "0"
1610 16390-16395 rgb_led_ws281x: bit: "0"
1611 16395-16400 rgb_led_ws281x: bit: "0"
1612 16400-16405 rgb_led_ws281x: bit: "0"
1613 16405-16410 rgb_led_ws281x: bit: "0"
1614 16410-16415 rgb_led_ws281x: bit: "0"
1615 16415-16420 rgb_led_ws281x: bit: "0"
1616 16420-16425 rgb_led_ws281x: bit: "0"
1617 16425-16430 rgb_led_ws281x: bit: "0"
1618 16430-16435 rgb_led_ws281x: bit: "0"
1619 16435-16440 rgb_led_ws281x: bit: "1"
1620 16440-16445 rgb_led_ws281x: bit: "1"
1621 16445-16450 rgb_led_ws281x: bit: "0"
1622 16450-16455 rgb_led_ws281x: bit: "0"
1623 16335-16375 rgb_led_ws281x: g: "0d"
1624 16375-16415 rgb_led_ws281x: r: "00"
1625 16415-16455 rgb_led_ws281x: b: "0c"
1626 16335-16455 rgb_led_ws281x: rgb: "#000d0c"
1627 16455-16460 rgb_led_ws281x: bit: "0"
1628 16460-16465 rgb_led_ws281x: bit: "0"
1629 16465-16470 rgb_led_ws281x: bit: "0"
1630 16470-16475 rgb_led_ws281x: bit: "1"
1631 16475-16480 rgb_led_ws281x: bit: "0"
1632 16480-16485 rgb_led_ws281x: bit: "1"
1633 16485-16490 rgb_led_ws281x: bit: "1"
1634 16490-16495 rgb_led_ws281x: bit: "0"
1635 16495-16500 rgb_led_ws281x: bit: "0"
1636 16500-16505 rgb_led_ws281x: bit: "0"
1637 16505-16510 rgb_led_ws281x: bit: "0"
1638 16510-16515 rgb_led_ws281x: bit: "0"
1639 16515-16520 rgb_led_ws281x: bit: "0"
1640 16520-16525 rgb_led_ws281x: bit: "0"
1641 16525-16530 rgb_led_ws281x: bit: "0"
1642 16530-16535 rgb_led_ws281x: bit: "0"
1643 16535-16540 rgb_led_ws281x: bit: "0"
1644 16540-16545 rgb_led_ws281x: bit: "0"
1645 16545-16550 rgb_led_ws281x: bit: "0"
1646 16550-16555 rgb_led_ws281x: bit: "1"
1647 16555-16560 rgb_led_ws281x: bit: "0"
1648 16560-16565 rgb_led_ws281x: bit: "1"
1649 16565-16570 rgb_led_ws281x: bit: "0"
1650 16570-16575 rgb_led_ws281x: bit: "0"
1651 16455-16495 rgb_led_ws281x: g: "16"
1652 16495-16535 rgb_led_ws281x: r: "00"
1653 16535-16575 rgb_led_ws281x: b: "14"
1654 16455-16575 rgb_led_ws281x: rgb: "#001614"
1655 16575-16580 rgb_led_ws281x: bit: "0"
1656 16580-16585 rgb_led_ws281x: bit: "0"
1657 16585-16590 rgb_led_ws281x: bit: "1"
1658 16590-16595 rgb_led_ws281x: bit: "0"
1659 16595-16600 rgb_led_ws281x: bit: "0"
1660 16600-16605 rgb_led_ws281x: bit: "0"
1661 16605-16610 rgb_led_ws281x: bit: "0"
1662 16610-16615 rgb_led_ws281x: bit: "1"
1663 16615-16620 rgb_led_ws281x: bit: "0"
1664 16620-16625 rgb_led_ws281x: bit: "0"
1665 16625-16630 rgb_led_ws281x: bit: "0"
1666 16630-16635 rgb_led_ws281x: bit: "0"
1667 16635-16640 rgb_led_ws281x: bit: "0"
1668 16640-16645 rgb_led_ws281x: bit: "0"
1669 16645-16650 rgb_led_ws281x: bit: "0"
1670 16650-16655 rgb_led_ws281x: bit: "0"
1671 16655-16660 rgb_led_ws281x: bit: "0"
1672 16660-16665 rgb_led_ws281x: bit: "0"
1673 16665-16670 rgb_led_ws281x: bit: "0"
1674 16670-16675 rgb_led_ws281x: bit: "1"
1675 16675-16680 rgb_led_ws281x: bit: "1"
1676 16680-16685 rgb_led_ws281x: bit: "1"
1677 16685-16690 rgb_led_ws281x: bit: "1"
1678 16690-16695 rgb_led_ws281x: bit: "1"
1679 16575-16615 rgb_led_ws281x: g: "21"
1680 16615-16655 rgb_led_ws281x: r: "00"
1681 16655-16695 rgb_led_ws281x: b: "1f"
1682 16575-16695 rgb_led_ws281x: rgb: "#00211f"
1683 16695-16700 rgb_led_ws281x: bit: "0"
1684 16700-16705 rgb_led_ws281x: bit: "0"
1685 16705-16710 rgb_led_ws281x: bit: "1"
1686 16710-16715 rgb_led_ws281x: bit: "1"
1687 16715-16720 rgb_led_ws281x: bit: "0"
1688 16720-16725 rgb_led_ws281x: bit: "0"
1689 16725-16730 rgb_led_ws281x: bit: "0"
1690 16730-16735 rgb_led_ws281x: bit: "0"
1691 16735-16740 rgb_led_ws281x: bit: "0"
1692 16740-16745 rgb_led_ws281x: bit: "0"
1693 16745-16750 rgb_led_ws281x: bit: "0"
1694 16750-16755 rgb_led_ws281x: bit: "0"
1695 16755-16760 rgb_led_ws281x: bit: "0"
1696 16760-16765 rgb_led_ws281x: bit: "0"
1697 16765-16770 rgb_led_ws281x: bit: "0"
1698 16770-16775 rgb_led_ws281x: bit: "0"
1699 16775-16780 rgb_led_ws281x: bit: "0"
1700 16780-16785 rgb_led_ws281x: bit: "0"
1701 16785-16790 rgb_led_ws281x: bit: "1"
1702 16790-16795 rgb_led_ws281x: bit: "0"
1703 16795-16800 rgb_led_ws281x: bit: "1"
1704 16800-16805 rgb_led_ws281x: bit: "1"
1705 16805-16810 rgb_led_ws281x: bit: "0"
1706 16810-16815 rgb_led_ws281x: bit: "0"
1707 16695-16735 rgb_led_ws281x: g: "30"
1708 16735-16775 rgb_led_ws281x: r: "00"
1709 16775-16815 rgb_led_ws281x: b: "2c"
1710 16695-16815 rgb_led_ws281x: rgb: "#00302c"
1711 16815-16820 rgb_led_ws281x: bit: "0"
1712 16820-16825 rgb_led_ws281x: bit: "0"
1713 16825-16830 rgb_led_ws281x: bit: "0"
1714 16830-16835 rgb_led_ws281x: bit: "0"
1715 16835-16840 rgb_led_ws281x: bit: "0"
1716 16840-16845 rgb_led_ws281x: bit: "0"
1717 16845-16850 rgb_led_ws281x: bit: "0"
1718 16850-16855 rgb_led_ws281x: bit: "0"
1719 16855-16860 rgb_led_ws281x: bit: "0"
1720 16860-16865 rgb_led_ws281x: bit: "0"
1721 16865-16870 rgb_led_ws281x: bit: "0"
1722 16870-16875 rgb_led_ws281x: bit: "0"
1723 16875-16880 rgb_led_ws281x: bit: "0"
1724 16880-16885 rgb_led_ws281x: bit: "0"
1725 16885-16890 rgb_led_ws281x: bit: "0"
1726 16890-16895 rgb_led_ws281x: bit: "0"
1727 16895-16900 rgb_led_ws281x: bit: "0"
1728 16900-16905 rgb_led_ws281x: bit: "0"
1729 16905-16910 rgb_led_ws281x: bit: "0"
1730 16910-16915 rgb_led_ws281x: bit: "0"
1731 16915-16920 rgb_led_ws281x: bit: "0"
1732 16920-16925 rgb_led_ws281x: bit: "0"
1733 16925-16930 rgb_led_ws281x: bit: "0"
1734 16930-16935 rgb_led_ws281x: bit: "0"
1735 16815-16855 rgb_led_ws281x: g: "00"
1736 16855-16895 rgb_led_ws281x: r: "00"
1737 16895-16935 rgb_led_ws281x: b: "00"
1738 16815-16935 rgb_led_ws281x: rgb: "#000000"
1739 16935-16940 rgb_led_ws281x: bit: "0"
1740 16940-16945 rgb_led_ws281x: bit: "0"
1741 16945-16950 rgb_led_ws281x: bit: "0"
1742 16950-16955 rgb_led_ws281x: bit: "0"
1743 16955-16960 rgb_led_ws281x: bit: "0"
1744 16960-16965 rgb_led_ws281x: bit: "0"
1745 16965-16970 rgb_led_ws281x: bit: "0"
1746 16970-16975 rgb_led_ws281x: bit: "0"
1747 16975-16980 rgb_led_ws281x: bit: "0"
1748 16980-16985 rgb_led_ws281x: bit: "0"
1749 16985-16990 rgb_led_ws281x: bit: "0"
1750 16990-16995 rgb_led_ws281x: bit: "0"
1751 16995-17000 rgb_led_ws281x: bit: "0"
1752 17000-17005 rgb_led_ws281x: bit: "0"
1753 17005-17010 rgb_led_ws281x: bit: "0"
1754 17010-17015 rgb_led_ws281x: bit: "0"
1755 17015-17020 rgb_led_ws281x: bit: "0"
1756 17020-17025 rgb_led_ws281x: bit: "0"
1757 17025-17030 rgb_led_ws281x: bit: "0"
1758 17030-17035 rgb_led_ws281x: bit: "0"
1759 17035-17040 rgb_led_ws281x: bit: "0"
1760 17040-17045 rgb_led_ws281x: bit: "0"
1761 17045-17050 rgb_led_ws281x: bit: "0"
1762 17050-17055 rgb_led_ws281x: bit: "0"
1763 16935-16975 rgb_led_ws281x: g: "00"
1764 16975-17015 rgb_led_ws281x: r: "00"
1765 17015-17055 rgb_led_ws281x: b: "00"
1766 16935-17055 rgb_led_ws281x: rgb: "#000000"
1767 17055-17060 rgb_led_ws281x: bit: "0"
1768 17060-17065 rgb_led_ws281x: bit: "0"
1769 17065-17070 rgb_led_ws281x: bit: "0"
1770 17070-17075 rgb_led_ws281x: bit: "0"
1771 17075-17080 rgb_led_ws281x: bit: "0"
1772 17080-17085 rgb_led_ws281x: bit: "0"
1773 17085-17090 rgb_led_ws281x: bit: "0"
1774 17090-17095 rgb_led_ws281x: bit: "0"
1775 17095-17100 rgb_led_ws281x: bit: "0"
1776 17100-17105 rgb_led_ws281x: bit: "0"
1777 17105-17110 rgb_led_ws281x: bit: "0"
1778 17110-17115 rgb_led_ws281x: bit: "0"
1779 17115-17120 rgb_led_ws281x: bit: "0"
1780 17120-17125 rgb_led_ws281x: bit: "0"
1781 17125-17130 rgb_led_ws281x: bit: "0"
1782 17130-17135 rgb_led_ws281x: bit: "0"
1783 17135-17140 rgb_led_ws281x: bit: "0"
1784 17140-17145 rgb_led_ws281x: bit: "0"
1785 17145-17150 rgb_led_ws281x: bit: "0"
1786 17150-17155 rgb_led_ws281x: bit: "0"
1787 17155-17160 rgb_led_ws281x: bit: "0"
1788 17160-17165 rgb_led_ws281x: bit: "0"
1789 17165-17170 rgb_led_ws281x: bit: "0"
1790 17170-17175 rgb_led_ws281x: bit: "0"
1791 17055-17095 rgb_led_ws281x: g: "00"
1792 17095-17135 rgb_led_ws281x: r: "00"
1793 17135-17175 rgb_led_ws281x: b: "00"
1794 17055-17175 rgb_led_ws281x: rgb: "#000000"
1795 17175-17180 rgb_led_ws281x: bit: "0"
1796 17180-17185 rgb_led_ws281x: bit: "0"
1797 17185-17190 rgb_led_ws281x: bit: "0"
1798 17190-17195 rgb_led_ws281x: bit: "0"
1799 17195-17200 rgb_led_ws281x: bit: "0"
1800 17200-17205 rgb_led_ws281x: bit: "0"
1801 17205-17210 rgb_led_ws281x: bit: "0"
1802 17210-17215 rgb_led_ws281x: bit: "0"
1803 17215-17220 rgb_led_ws281x: bit: "0"
1804 17220-17225 rgb_led_ws281x: bit: "0"
1805 17225-17230 rgb_led_ws281x: bit: "0"
1806 17230-17235 rgb_led_ws281x: bit: "0"
1807 17235-17240 rgb_led_ws281x: bit: "0"
1808 17240-17245 rgb_led_ws281x: bit: "0"
1809 17245-17250 rgb_led_ws281x: bit: "0"
1810 17250-17255 rgb_led_ws281x: bit: "0"
1811 17255-17260 rgb_led_ws281x: bit: "0"
1812 17260-17265 rgb_led_ws281x: bit: "0"
1813 17265-17270 rgb_led_ws281x: bit: "0"
1814 17270-17275 rgb_led_ws281x: bit: "0"
1815 17275-17280 rgb_led_ws281x: bit: "0"
1816 17280-17285 rgb_led_ws281x: bit: "0"
1817 17285-17290 rgb_led_ws281x: bit: "0"
1818 17290-17295 rgb_led_ws281x: bit: "0"
1819 17175-17215 rgb_led_ws281x: g: "00"
1820 17215-17255 rgb_led_ws281x: r: "00"
1821 17255-17295 rgb_led_ws281x: b: "00"
1822 17175-17295 rgb_led_ws281x: rgb: "#000000"
1823 17295-17300 rgb_led_ws281x: bit: "0"
1824 17300-17305 rgb_led_ws281x: bit: "0"
1825 17305-17310 rgb_led_ws281x: bit: "0"
1826 17310-17315 rgb_led_ws281x: bit: "0"
1827 17315-17320 rgb_led_ws281x: bit: "0"
1828 17320-17325 rgb_led_ws281x: bit: "0"
1829 17325-17330 rgb_led_ws281x: bit: "0"
1830 17330-17335 rgb_led_ws281x: bit: "0"
1831 17335-17340 rgb_led_ws281x: bit: "0"
1832 17340-17345 rgb_led_ws281x: bit: "0"
1833 17345-17350 rgb_led_ws281x: bit: "0"
1834 17350-17355 rgb_led_ws281x: bit: "0"
1835 17355-17360 rgb_led_ws281x: bit: "0"
1836 17360-17365 rgb_led_ws281x: bit: "0"
1837 17365-17370 rgb_led_ws281x: bit: "0"
1838 17370-17375 rgb_led_ws281x: bit: "0"
1839 17375-17380 rgb_led_ws281x: bit: "0"
1840 17380-17385 rgb_led_ws281x: bit: "0"
1841 17385-17390 rgb_led_ws281x: bit: "0"
1842 17390-17395 rgb_led_ws281x: bit: "0"
1843 17395-17400 rgb_led_ws281x: bit: "0"
1844 17400-17405 rgb_led_ws281x: bit: "0"
1845 17405-17410 rgb_led_ws281x: bit: "0"
1846 17410-17415 rgb_led_ws281x: bit: "0"
1847 17295-17335 rgb_led_ws281x: g: "00"
1848 17335-17375 rgb_led_ws281x: r: "00"
1849 17375-17415 rgb_led_ws281x: b: "00"
1850 17295-17415 rgb_led_ws281x: rgb: "#000000"
1851 17415-17420 rgb_led_ws281x: bit: "0"
1852 17420-17425 rgb_led_ws281x: bit: "0"
1853 17425-17430 rgb_led_ws281x: bit: "0"
1854 17430-17435 rgb_led_ws281x: bit: "0"
1855 17435-17440 rgb_led_ws281x: bit: "0"
1856 17440-17445 rgb_led_ws281x: bit: "0"
1857 17445-17450 rgb_led_ws281x: bit: "0"
1858 17450-17455 rgb_led_ws281x: bit: "0"
1859 17455-17460 rgb_led_ws281x: bit: "0"
1860 17460-17465 rgb_led_ws281x: bit: "0"
1861 17465-17470 rgb_led_ws281x: bit: "0"
1862 17470-17474 rgb_led_ws281x: bit: "0"
1863 17474-17479 rgb_led_ws281x: bit: "0"
1864 17479-17484 rgb_led_ws281x: bit: "0"
1865 17484-17489 rgb_led_ws281x: bit: "0"
1866 17489-17494 rgb_led_ws281x: bit: "0"
1867 17494-17499 rgb_led_ws281x: bit: "0"
1868 17499-17504 rgb_led_ws281x: bit: "0"
1869 17504-17509 rgb_led_ws281x: bit: "0"
1870 17509-17514 rgb_led_ws281x: bit: "0"
1871 17514-17519 rgb_led_ws281x: bit: "0"
1872 17519-17524 rgb_led_ws281x: bit: "0"
1873 17524-17529 rgb_led_ws281x: bit: "0"
1874 17529-17534 rgb_led_ws281x: bit: "0"
1875 17415-17455 rgb_led_ws281x: g: "00"
1876 17455-17494 rgb_led_ws281x: r: "00"
1877 17494-17534 rgb_led_ws281x: b: "00"
1878 17415-17534 rgb_led_ws281x: rgb: "#000000"
1879 17534-17539 rgb_led_ws281x: bit: "0"
1880 17539-17544 rgb_led_ws281x: bit: "0"
1881 17544-17549 rgb_led_ws281x: bit: "0"
1882 17549-17554 rgb_led_ws281x: bit: "0"
1883 17554-17559 rgb_led_ws281x: bit: "0"
1884 17559-17564 rgb_led_ws281x: bit: "0"
1885 17564-17569 rgb_led_ws281x: bit: "0"
1886 17569-17574 rgb_led_ws281x: bit: "0"
1887 17574-17579 rgb_led_ws281x: bit: "0"
1888 17579-17584 rgb_led_ws281x: bit: "0"
1889 17584-17589 rgb_led_ws281x: bit: "0"
1890 17589-17594 rgb_led_ws281x: bit: "0"
1891 17594-17599 rgb_led_ws281x: bit: "0"
1892 17599-17604 rgb_led_ws281x: bit: "0"
1893 17604-17609 rgb_led_ws281x: bit: "0"
1894 17609-17614 rgb_led_ws281x: bit: "0"
1895 17614-17619 rgb_led_ws281x: bit: "0"
1896 17619-17624 rgb_led_ws281x: bit: "0"
1897 17624-17629 rgb_led_ws281x: bit: "0"
1898 17629-17634 rgb_led_ws281x: bit: "0"
1899 17634-17639 rgb_led_ws281x: bit: "0"
1900 17639-17644 rgb_led_ws281x: bit: "0"
1901 17644-17649 rgb_led_ws281x: bit: "0"
1902 17649-17654 rgb_led_ws281x: bit: "0"
1903 17534-17574 rgb_led_ws281x: g: "00"
1904 17574-17614 rgb_led_ws281x: r: "00"
1905 17614-17654 rgb_led_ws281x: b: "00"
1906 17534-17654 rgb_led_ws281x: rgb: "#000000"
1907 17654-17659 rgb_led_ws281x: bit: "0"
1908 17659-17664 rgb_led_ws281x: bit: "0"
1909 17664-17669 rgb_led_ws281x: bit: "0"
1910 17669-17674 rgb_led_ws281x: bit: "0"
1911 17674-17679 rgb_led_ws281x: bit: "0"
1912 17679-17684 rgb_led_ws281x: bit: "0"
1913 17684-17689 rgb_led_ws281x: bit: "0"
1914 17689-17694 rgb_led_ws281x: bit: "0"
1915 17694-17699 rgb_led_ws281x: bit: "0"
1916 17699-17704 rgb_led_ws281x: bit: "0"
1917 17704-17709 rgb_led_ws281x: bit: "0"
1918 17709-17714 rgb_led_ws281x: bit: "0"
1919 17714-17719 rgb_led_ws281x: bit: "0"
1920 17719-17724 rgb_led_ws281x: bit: "0"
1921 17724-17729 rgb_led_ws281x: bit: "0"
1922 17729-17734 rgb_led_ws281x: bit: "0"
1923 17734-17739 rgb_led_ws281x: bit: "0"
1924 17739-17744 rgb_led_ws281x: bit: "0"
1925 17744-17749 rgb_led_ws281x: bit: "0"
1926 17749-17754 rgb_led_ws281x: bit: "0"
1927 17754-17759 rgb_led_ws281x: bit: "0"
1928 17759-17764 rgb_led_ws281x: bit: "0"
1929 17764-17769 rgb_led_ws281x: bit: "0"
1930 17769-17774 rgb_led_ws281x: bit: "0"
1931 17654-17694 rgb_led_ws281x: g: "00"
1932 17694-17734 rgb_led_ws281x: r: "00"
1933 17734-17774 rgb_led_ws281x: b: "00"
1934 17654-17774 rgb_led_ws281x: rgb: "#000000"
1935 17774-17779 rgb_led_ws281x: bit: "0"
1936 17779-17784 rgb_led_ws281x: bit: "0"
1937 17784-17789 rgb_led_ws281x: bit: "0"
1938 17789-17794 rgb_led_ws281x: bit: "0"
1939 17794-17799 rgb_led_ws281x: bit: "0"
1940 17799-17804 rgb_led_ws281x: bit: "0"
1941 17804-17809 rgb_led_ws281x: bit: "0"
1942 17809-17814 rgb_led_ws281x: bit: "0"
1943 17814-17819 rgb_led_ws281x: bit: "0"
1944 17819-17824 rgb_led_ws281x: bit: "0"
1945 17824-17829 rgb_led_ws281x: bit: "0"
1946 17829-17834 rgb_led_ws281x: bit: "0"
1947 17834-17839 rgb_led_ws281x: bit: "0"
1948 17839-17844 rgb_led_ws281x: bit: "0"
1949 17844-17849 rgb_led_ws281x: bit: "0"
1950 17849-17854 rgb_led_ws281x: bit: "0"
1951 17854-17859 rgb_led_ws281x: bit: "0"
1952 17859-17864 rgb_led_ws281x: bit: "0"
1953 17864-17869 rgb_led_ws281x: bit: "0"
1954 17869-17874 rgb_led_ws281x: bit: "0"
1955 17874-17879 rgb_led_ws281x: bit: "0"
1956 17879-17884 rgb_led_ws281x: bit: "0"
1957 17884-17889 rgb_led_ws281x: bit: "0"
1958 17889-17894 rgb_led_ws281x: bit: "0"
1959 17774-17814 rgb_led_ws281x: g: "00"
1960 17814-17854 rgb_led_ws281x: r: "00"
1961 17854-17894 rgb_led_ws281x: b: "00"
1962 17774-17894 rgb_led_ws281x: rgb: "#000000"
1963 17894-17899 rgb_led_ws281x: bit: "0"
1964 17899-17904 rgb_led_ws281x: bit: "0"
1965 17904-17909 rgb_led_ws281x: bit: "0"
1966 17909-17914 rgb_led_ws281x: bit: "0"
1967 17914-17919 rgb_led_ws281x: bit: "0"
1968 17919-17924 rgb_led_ws281x: bit: "0"
1969 17924-17929 rgb_led_ws281x: bit: "0"
1970 17929-17934 rgb_led_ws281x: bit: "0"
1971 17934-17939 rgb_led_ws281x: bit: "0"
1972 17939-17944 rgb_led_ws281x: bit: "0"
1973 17944-17949 rgb_led_ws281x: bit: "0"
1974 17949-17954 rgb_led_ws281x: bit: "0"
1975 17954-17959 rgb_led_ws281x: bit: "0"
1976 17959-17964 rgb_led_ws281x: bit: "0"
1977 17964-17969 rgb_led_ws281x: bit: "0"
1978 17969-17974 rgb_led_ws281x: bit: "0"
1979 17974-17979 rgb_led_ws281x: bit: "0"
1980 17979-17984 rgb_led_ws281x: bit: "0"
1981 17984-17989 rgb_led_ws281x: bit: "0"
1982 17989-17994 rgb_led_ws281x: bit: "0"
1983 17994-17999 rgb_led_ws281x: bit: "0"
1984 17999-18004 rgb_led_ws281x: bit: "0"
1985 18004-18009 rgb_led_ws281x: bit: "0"
1986 18009-18014 rgb_led_ws281x: bit: "0"
1987 17894-17934 rgb_led_ws281x: g: "00"
1988 17934-17974 rgb_led_ws281x: r: "00"
1989 17974-18014 rgb_led_ws281x: b: "00"
1990 17894-18014 rgb_led_ws281x: rgb: "#000000"
1991 18014-18019 rgb_led_ws281x: bit: "0"
1992 18019-18024 rgb_led_ws281x: bit: "0"
1993 18024-18029 rgb_led_ws281x: bit: "0"
1994 18029-18034 rgb_led_ws281x: bit: "0"
1995 18034-18039 rgb_led_ws281x: bit: "0"
1996 18039-18044 rgb_led_ws281x: bit: "0"
1997 18044-18049 rgb_led_ws281x: bit: "0"
1998 18049-18054 rgb_led_ws281x: bit: "0"
1999 18054-18059 rgb_led_ws281x: bit: "0"
2000 18059-18064 rgb_led_ws281x: bit: "0"
2001 18064-18069 rgb_led_ws281x: bit: "0"
2002 18069-18074 rgb_led_ws281x: bit: "0"
2003 18074-18079 rgb_led_ws281x: bit: "0"
2004 18079-18084 rgb_led_ws281x: bit: "0"
2005 18084-18089 rgb_led_ws281x: bit: "0"
2006 18089-18094 rgb_led_ws281x: bit: "0"
2007 18094-18099 rgb_led_ws281x: bit: "0"
2008 18099-18104 rgb_led_ws281x: bit: "0"
2009 18104-18109 rgb_led_ws281x: bit: "0"
2010 18109-18114 rgb_led_ws281x: bit: "0"
2011 18114-18119 rgb_led_ws281x: bit: "0"
2012 18119-18124 rgb_led_ws281x: bit: "0"
2013 18124-18129 rgb_led_ws281x: bit: "0"
2014 18014-18054 rgb_led_ws281x: g: "00"
2015 18054-18094 rgb_led_ws281x: r: "00"
2016 18094-18131 rgb_led_ws281x: b: "00"
2017 18014-18131 rgb_led_ws281x: rgb: "#000000"
2018 18129-18131 rgb_led_ws281x: bit: "0"
2019 18131-18332 rgb_led_ws281x: reset: "RESET" "RST" "R"
2020 22054-22059 rgb_led_ws281x: bit: "0"
2021 22059-22064 rgb_led_ws281x: bit: "0"
2022 22064-22069 rgb_led_ws281x: bit: "0"
2023 22069-22074 rgb_led_ws281x: bit: "0"
2024 22074-22079 rgb_led_ws281x: bit: "0"
2025 22079-22084 rgb_led_ws281x: bit: "0"
2026 22084-22089 rgb_led_ws281x: bit: "0"
2027 22089-22094 rgb_led_ws281x: bit: "0"
2028 22094-22099 rgb_led_ws281x: bit: "0"
2029 22099-22104 rgb_led_ws281x: bit: "0"
2030 22104-22109 rgb_led_ws281x: bit: "0"
2031 22109-22114 rgb_led_ws281x: bit: "0"
2032 22114-22119 rgb_led_ws281x: bit: "0"
2033 22119-22124 rgb_led_ws281x: bit: "0"
2034 22124-22129 rgb_led_ws281x: bit: "0"
2035 22129-22134 rgb_led_ws281x: bit: "0"
2036 22134-22139 rgb_led_ws281x: bit: "0"
2037 22139-22144 rgb_led_ws281x: bit: "0"
2038 22144-22149 rgb_led_ws281x: bit: "0"
2039 22149-22154 rgb_led_ws281x: bit: "0"
2040 22154-22159 rgb_led_ws281x: bit: "0"
2041 22159-22164 rgb_led_ws281x: bit: "0"
2042 22164-22169 rgb_led_ws281x: bit: "0"
2043 22169-22174 rgb_led_ws281x: bit: "0"
2044 22054-22094 rgb_led_ws281x: g: "00"
2045 22094-22134 rgb_led_ws281x: r: "00"
2046 22134-22174 rgb_led_ws281x: b: "00"
2047 22054-22174 rgb_led_ws281x: rgb: "#000000"
2048 22174-22179 rgb_led_ws281x: bit: "0"
2049 22179-22184 rgb_led_ws281x: bit: "0"
2050 22184-22189 rgb_led_ws281x: bit: "0"
2051 22189-22194 rgb_led_ws281x: bit: "0"
2052 22194-22199 rgb_led_ws281x: bit: "0"
2053 22199-22204 rgb_led_ws281x: bit: "0"
2054 22204-22209 rgb_led_ws281x: bit: "0"
2055 22209-22214 rgb_led_ws281x: bit: "0"
2056 22214-22219 rgb_led_ws281x: bit: "0"
2057 22219-22224 rgb_led_ws281x: bit: "0"
2058 22224-22229 rgb_led_ws281x: bit: "0"
2059 22229-22234 rgb_led_ws281x: bit: "0"
2060 22234-22239 rgb_led_ws281x: bit: "0"
2061 22239-22244 rgb_led_ws281x: bit: "0"
2062 22244-22249 rgb_led_ws281x: bit: "0"
2063 22249-22254 rgb_led_ws281x: bit: "0"
2064 22254-22259 rgb_led_ws281x: bit: "0"
2065 22259-22264 rgb_led_ws281x: bit: "0"
2066 22264-22269 rgb_led_ws281x: bit: "0"
2067 22269-22274 rgb_led_ws281x: bit: "0"
2068 22274-22279 rgb_led_ws281x: bit: "0"
2069 22279-22284 rgb_led_ws281x: bit: "0"
2070 22284-22289 rgb_led_ws281x: bit: "0"
2071 22289-22294 rgb_led_ws281x: bit: "0"
2072 22174-22214 rgb_led_ws281x: g: "00"
2073 22214-22254 rgb_led_ws281x: r: "00"
2074 22254-22294 rgb_led_ws281x: b: "00"
2075 22174-22294 rgb_led_ws281x: rgb: "#000000"
2076 22294-22299 rgb_led_ws281x: bit: "0"
2077 22299-22304 rgb_led_ws281x: bit: "0"
2078 22304-22309 rgb_led_ws281x: bit: "0"
2079 22309-22314 rgb_led_ws281x: bit: "0"
2080 22314-22319 rgb_led_ws281x: bit: "0"
2081 22319-22324 rgb_led_ws281x: bit: "0"
2082 22324-22329 rgb_led_ws281x: bit: "0"
2083 22329-22334 rgb_led_ws281x: bit: "0"
2084 22334-22339 rgb_led_ws281x: bit: "0"
2085 22339-22344 rgb_led_ws281x: bit: "0"
2086 22344-22349 rgb_led_ws281x: bit: "0"
2087 22349-22354 rgb_led_ws281x: bit: "0"
2088 22354-22359 rgb_led_ws281x: bit: "0"
2089 22359-22364 rgb_led_ws281x: bit: "0"
2090 22364-22369 rgb_led_ws281x: bit: "0"
2091 22369-22374 rgb_led_ws281x: bit: "0"
2092 22374-22379 rgb_led_ws281x: bit: "0"
2093 22379-22384 rgb_led_ws281x: bit: "0"
2094 22384-22389 rgb_led_ws281x: bit: "0"
2095 22389-22394 rgb_led_ws281x: bit: "0"
2096 22394-22399 rgb_led_ws281x: bit: "0"
2097 22399-22404 rgb_led_ws281x: bit: "0"
2098 22404-22409 rgb_led_ws281x: bit: "0"
2099 22409-22414 rgb_led_ws281x: bit: "0"
2100 22294-22334 rgb_led_ws281x: g: "00"
2101 22334-22374 rgb_led_ws281x: r: "00"
2102 22374-22414 rgb_led_ws281x: b: "00"
2103 22294-22414 rgb_led_ws281x: rgb: "#000000"
2104 22414-22419 rgb_led_ws281x: bit: "0"
2105 22419-22424 rgb_led_ws281x: bit: "0"
2106 22424-22429 rgb_led_ws281x: bit: "0"
2107 22429-22434 rgb_led_ws281x: bit: "0"
2108 22434-22439 rgb_led_ws281x: bit: "0"
2109 22439-22444 rgb_led_ws281x: bit: "0"
2110 22444-22449 rgb_led_ws281x: bit: "0"
2111 22449-22454 rgb_led_ws281x: bit: "0"
2112 22454-22459 rgb_led_ws281x: bit: "0"
2113 22459-22464 rgb_led_ws281x: bit: "0"
2114 22464-22469 rgb_led_ws281x: bit: "0"
2115 22469-22474 rgb_led_ws281x: bit: "0"
2116 22474-22479 rgb_led_ws281x: bit: "0"
2117 22479-22484 rgb_led_ws281x: bit: "0"
2118 22484-22489 rgb_led_ws281x: bit: "0"
2119 22489-22494 rgb_led_ws281x: bit: "0"
2120 22494-22499 rgb_led_ws281x: bit: "0"
2121 22499-22504 rgb_led_ws281x: bit: "0"
2122 22504-22509 rgb_led_ws281x: bit: "0"
2123 22509-22514 rgb_led_ws281x: bit: "0"
2124 22514-22519 rgb_led_ws281x: bit: "0"
2125 22519-22524 rgb_led_ws281x: bit: "0"
2126 22524-22529 rgb_led_ws281x: bit: "0"
2127 22529-22534 rgb_led_ws281x: bit: "0"
2128 22414-22454 rgb_led_ws281x: g: "00"
2129 22454-22494 rgb_led_ws281x: r: "00"
2130 22494-22534 rgb_led_ws281x: b: "00"
2131 22414-22534 rgb_led_ws281x: rgb: "#000000"
2132 22534-22539 rgb_led_ws281x: bit: "0"
2133 22539-22544 rgb_led_ws281x: bit: "0"
2134 22544-22549 rgb_led_ws281x: bit: "0"
2135 22549-22554 rgb_led_ws281x: bit: "0"
2136 22554-22559 rgb_led_ws281x: bit: "0"
2137 22559-22564 rgb_led_ws281x: bit: "0"
2138 22564-22569 rgb_led_ws281x: bit: "0"
2139 22569-22574 rgb_led_ws281x: bit: "0"
2140 22574-22579 rgb_led_ws281x: bit: "0"
2141 22579-22584 rgb_led_ws281x: bit: "0"
2142 22584-22589 rgb_led_ws281x: bit: "0"
2143 22589-22594 rgb_led_ws281x: bit: "0"
2144 22594-22599 rgb_led_ws281x: bit: "0"
2145 22599-22604 rgb_led_ws281x: bit: "0"
2146 22604-22609 rgb_led_ws281x: bit: "0"
2147 22609-22614 rgb_led_ws281x: bit: "0"
2148 22614-22619 rgb_led_ws281x: bit: "0"
2149 22619-22624 rgb_led_ws281x: bit: "0"
2150 22624-22629 rgb_led_ws281x: bit: "0"
2151 22629-22634 rgb_led_ws281x: bit: "0"
2152 22634-22639 rgb_led_ws281x: bit: "0"
2153 22639-22644 rgb_led_ws281x: bit: "0"
2154 22644-22649 rgb_led_ws281x: bit: "0"
2155 22649-22654 rgb_led_ws281x: bit: "0"
2156 22534-22574 rgb_led_ws281x: g: "00"
2157 22574-22614 rgb_led_ws281x: r: "00"
2158 22614-22654 rgb_led_ws281x: b: "00"
2159 22534-22654 rgb_led_ws281x: rgb: "#000000"
2160 22654-22659 rgb_led_ws281x: bit: "0"
2161 22659-22664 rgb_led_ws281x: bit: "0"
2162 22664-22669 rgb_led_ws281x: bit: "0"
2163 22669-22674 rgb_led_ws281x: bit: "0"
2164 22674-22679 rgb_led_ws281x: bit: "0"
2165 22679-22684 rgb_led_ws281x: bit: "0"
2166 22684-22689 rgb_led_ws281x: bit: "0"
2167 22689-22694 rgb_led_ws281x: bit: "0"
2168 22694-22699 rgb_led_ws281x: bit: "0"
2169 22699-22704 rgb_led_ws281x: bit: "0"
2170 22704-22709 rgb_led_ws281x: bit: "0"
2171 22709-22714 rgb_led_ws281x: bit: "0"
2172 22714-22719 rgb_led_ws281x: bit: "0"
2173 22719-22724 rgb_led_ws281x: bit: "0"
2174 22724-22729 rgb_led_ws281x: bit: "0"
2175 22729-22734 rgb_led_ws281x: bit: "0"
2176 22734-22739 rgb_led_ws281x: bit: "0"
2177 22739-22744 rgb_led_ws281x: bit: "0"
2178 22744-22749 rgb_led_ws281x: bit: "0"
2179 22749-22754 rgb_led_ws281x: bit: "0"
2180 22754-22759 rgb_led_ws281x: bit: "0"
2181 22759-22764 rgb_led_ws281x: bit: "0"
2182 22764-22769 rgb_led_ws281x: bit: "0"
2183 22769-22774 rgb_led_ws281x: bit: "0"
2184 22654-22694 rgb_led_ws281x: g: "00"
2185 22694-22734 rgb_led_ws281x: r: "00"
2186 22734-22774 rgb_led_ws281x: b: "00"
2187 22654-22774 rgb_led_ws281x: rgb: "#000000"
2188 22774-22779 rgb_led_ws281x: bit: "0"
2189 22779-22784 rgb_led_ws281x: bit: "0"
2190 22784-22789 rgb_led_ws281x: bit: "0"
2191 22789-22794 rgb_led_ws281x: bit: "0"
2192 22794-22799 rgb_led_ws281x: bit: "0"
2193 22799-22804 rgb_led_ws281x: bit: "0"
2194 22804-22809 rgb_led_ws281x: bit: "0"
2195 22809-22814 rgb_led_ws281x: bit: "0"
2196 22814-22819 rgb_led_ws281x: bit: "0"
2197 22819-22824 rgb_led_ws281x: bit: "0"
2198 22824-22829 rgb_led_ws281x: bit: "0"
2199 22829-22834 rgb_led_ws281x: bit: "0"
2200 22834-22839 rgb_led_ws281x: bit: "0"
2201 22839-22844 rgb_led_ws281x: bit: "0"
2202 22844-22849 rgb_led_ws281x: bit: "0"
2203 22849-22854 rgb_led_ws281x: bit: "0"
2204 22854-22859 rgb_led_ws281x: bit: "0"
2205 22859-22864 rgb_led_ws281x: bit: "0"
2206 22864-22869 rgb_led_ws281x: bit: "0"
2207 22869-22874 rgb_led_ws281x: bit: "0"
2208 22874-22879 rgb_led_ws281x: bit: "0"
2209 22879-22884 rgb_led_ws281x: bit: "0"
2210 22884-22889 rgb_led_ws281x: bit: "0"
2211 22889-22894 rgb_led_ws281x: bit: "0"
2212 22774-22814 rgb_led_ws281x: g: "00"
2213 22814-22854 rgb_led_ws281x: r: "00"
2214 22854-22894 rgb_led_ws281x: b: "00"
2215 22774-22894 rgb_led_ws281x: rgb: "#000000"
2216 22894-22899 rgb_led_ws281x: bit: "0"
2217 22899-22904 rgb_led_ws281x: bit: "0"
2218 22904-22909 rgb_led_ws281x: bit: "0"
2219 22909-22914 rgb_led_ws281x: bit: "0"
2220 22914-22919 rgb_led_ws281x: bit: "0"
2221 22919-22924 rgb_led_ws281x: bit: "0"
2222 22924-22929 rgb_led_ws281x: bit: "1"
2223 22929-22934 rgb_led_ws281x: bit: "1"
2224 22934-22939 rgb_led_ws281x: bit: "0"
2225 22939-22944 rgb_led_ws281x: bit: "0"
2226 22944-22949 rgb_led_ws281x: bit: "0"
2227 22949-22954 rgb_led_ws281x: bit: "0"
2228 22954-22959 rgb_led_ws281x: bit: "0"
2229 22959-22964 rgb_led_ws281x: bit: "0"
2230 22964-22969 rgb_led_ws281x: bit: "0"
2231 22969-22974 rgb_led_ws281x: bit: "0"
2232 22974-22979 rgb_led_ws281x: bit: "0"
2233 22979-22984 rgb_led_ws281x: bit: "0"
2234 22984-22989 rgb_led_ws281x: bit: "0"
2235 22989-22994 rgb_led_ws281x: bit: "0"
2236 22994-22999 rgb_led_ws281x: bit: "0"
2237 22999-23004 rgb_led_ws281x: bit: "0"
2238 23004-23009 rgb_led_ws281x: bit: "1"
2239 23009-23014 rgb_led_ws281x: bit: "0"
2240 22894-22934 rgb_led_ws281x: g: "03"
2241 22934-22974 rgb_led_ws281x: r: "00"
2242 22974-23014 rgb_led_ws281x: b: "02"
2243 22894-23014 rgb_led_ws281x: rgb: "#000302"
2244 23014-23019 rgb_led_ws281x: bit: "0"
2245 23019-23024 rgb_led_ws281x: bit: "0"
2246 23024-23029 rgb_led_ws281x: bit: "0"
2247 23029-23034 rgb_led_ws281x: bit: "0"
2248 23034-23039 rgb_led_ws281x: bit: "0"
2249 23039-23044 rgb_led_ws281x: bit: "1"
2250 23044-23049 rgb_led_ws281x: bit: "1"
2251 23049-23054 rgb_led_ws281x: bit: "1"
2252 23054-23059 rgb_led_ws281x: bit: "0"
2253 23059-23064 rgb_led_ws281x: bit: "0"
2254 23064-23069 rgb_led_ws281x: bit: "0"
2255 23069-23074 rgb_led_ws281x: bit: "0"
2256 23074-23079 rgb_led_ws281x: bit: "0"
2257 23079-23084 rgb_led_ws281x: bit: "0"
2258 23084-23089 rgb_led_ws281x: bit: "0"
2259 23089-23094 rgb_led_ws281x: bit: "0"
2260 23094-23099 rgb_led_ws281x: bit: "0"
2261 23099-23104 rgb_led_ws281x: bit: "0"
2262 23104-23109 rgb_led_ws281x: bit: "0"
2263 23109-23114 rgb_led_ws281x: bit: "0"
2264 23114-23119 rgb_led_ws281x: bit: "0"
2265 23119-23124 rgb_led_ws281x: bit: "1"
2266 23124-23129 rgb_led_ws281x: bit: "1"
2267 23129-23134 rgb_led_ws281x: bit: "0"
2268 23014-23054 rgb_led_ws281x: g: "07"
2269 23054-23094 rgb_led_ws281x: r: "00"
2270 23094-23134 rgb_led_ws281x: b: "06"
2271 23014-23134 rgb_led_ws281x: rgb: "#000706"
2272 23134-23139 rgb_led_ws281x: bit: "0"
2273 23139-23144 rgb_led_ws281x: bit: "0"
2274 23144-23149 rgb_led_ws281x: bit: "0"
2275 23149-23154 rgb_led_ws281x: bit: "0"
2276 23154-23159 rgb_led_ws281x: bit: "1"
2277 23159-23164 rgb_led_ws281x: bit: "1"
2278 23164-23169 rgb_led_ws281x: bit: "0"
2279 23169-23174 rgb_led_ws281x: bit: "1"
2280 23174-23179 rgb_led_ws281x: bit: "0"
2281 23179-23184 rgb_led_ws281x: bit: "0"
2282 23184-23189 rgb_led_ws281x: bit: "0"
2283 23189-23194 rgb_led_ws281x: bit: "0"
2284 23194-23199 rgb_led_ws281x: bit: "0"
2285 23199-23204 rgb_led_ws281x: bit: "0"
2286 23204-23209 rgb_led_ws281x: bit: "0"
2287 23209-23214 rgb_led_ws281x: bit: "0"
2288 23214-23219 rgb_led_ws281x: bit: "0"
2289 23219-23224 rgb_led_ws281x: bit: "0"
2290 23224-23229 rgb_led_ws281x: bit: "0"
2291 23229-23234 rgb_led_ws281x: bit: "0"
2292 23234-23239 rgb_led_ws281x: bit: "1"
2293 23239-23244 rgb_led_ws281x: bit: "1"
2294 23244-23249 rgb_led_ws281x: bit: "0"
2295 23249-23254 rgb_led_ws281x: bit: "0"
2296 23134-23174 rgb_led_ws281x: g: "0d"
2297 23174-23214 rgb_led_ws281x: r: "00"
2298 23214-23254 rgb_led_ws281x: b: "0c"
2299 23134-23254 rgb_led_ws281x: rgb: "#000d0c"
2300 23254-23259 rgb_led_ws281x: bit: "0"
2301 23259-23264 rgb_led_ws281x: bit: "0"
2302 23264-23269 rgb_led_ws281x: bit: "0"
2303 23269-23274 rgb_led_ws281x: bit: "1"
2304 23274-23279 rgb_led_ws281x: bit: "0"
2305 23279-23284 rgb_led_ws281x: bit: "1"
2306 23284-23289 rgb_led_ws281x: bit: "1"
2307 23289-23294 rgb_led_ws281x: bit: "0"
2308 23294-23299 rgb_led_ws281x: bit: "0"
2309 23299-23304 rgb_led_ws281x: bit: "0"
2310 23304-23309 rgb_led_ws281x: bit: "0"
2311 23309-23314 rgb_led_ws281x: bit: "0"
2312 23314-23319 rgb_led_ws281x: bit: "0"
2313 23319-23324 rgb_led_ws281x: bit: "0"
2314 23324-23329 rgb_led_ws281x: bit: "0"
2315 23329-23334 rgb_led_ws281x: bit: "0"
2316 23334-23339 rgb_led_ws281x: bit: "0"
2317 23339-23344 rgb_led_ws281x: bit: "0"
2318 23344-23349 rgb_led_ws281x: bit: "0"
2319 23349-23354 rgb_led_ws281x: bit: "1"
2320 23354-23359 rgb_led_ws281x: bit: "0"
2321 23359-23364 rgb_led_ws281x: bit: "1"
2322 23364-23369 rgb_led_ws281x: bit: "0"
2323 23369-23374 rgb_led_ws281x: bit: "0"
2324 23254-23294 rgb_led_ws281x: g: "16"
2325 23294-23334 rgb_led_ws281x: r: "00"
2326 23334-23374 rgb_led_ws281x: b: "14"
2327 23254-23374 rgb_led_ws281x: rgb: "#001614"
2328 23374-23379 rgb_led_ws281x: bit: "0"
2329 23379-23384 rgb_led_ws281x: bit: "0"
2330 23384-23389 rgb_led_ws281x: bit: "1"
2331 23389-23394 rgb_led_ws281x: bit: "0"
2332 23394-23399 rgb_led_ws281x: bit: "0"
2333 23399-23404 rgb_led_ws281x: bit: "0"
2334 23404-23409 rgb_led_ws281x: bit: "0"
2335 23409-23414 rgb_led_ws281x: bit: "1"
2336 23414-23419 rgb_led_ws281x: bit: "0"
2337 23419-23424 rgb_led_ws281x: bit: "0"
2338 23424-23429 rgb_led_ws281x: bit: "0"
2339 23429-23434 rgb_led_ws281x: bit: "0"
2340 23434-23439 rgb_led_ws281x: bit: "0"
2341 23439-23444 rgb_led_ws281x: bit: "0"
2342 23444-23449 rgb_led_ws281x: bit: "0"
2343 23449-23454 rgb_led_ws281x: bit: "0"
2344 23454-23459 rgb_led_ws281x: bit: "0"
2345 23459-23464 rgb_led_ws281x: bit: "0"
2346 23464-23469 rgb_led_ws281x: bit: "0"
2347 23469-23474 rgb_led_ws281x: bit: "1"
2348 23474-23479 rgb_led_ws281x: bit: "1"
2349 23479-23484 rgb_led_ws281x: bit: "1"
2350 23484-23489 rgb_led_ws281x: bit: "1"
2351 23489-23494 rgb_led_ws281x: bit: "1"
2352 23374-23414 rgb_led_ws281x: g: "21"
2353 23414-23454 rgb_led_ws281x: r: "00"
2354 23454-23494 rgb_led_ws281x: b: "1f"
2355 23374-23494 rgb_led_ws281x: rgb: "#00211f"
2356 23494-23499 rgb_led_ws281x: bit: "0"
2357 23499-23504 rgb_led_ws281x: bit: "0"
2358 23504-23509 rgb_led_ws281x: bit: "1"
2359 23509-23514 rgb_led_ws281x: bit: "0"
2360 23514-23519 rgb_led_ws281x: bit: "1"
2361 23519-23524 rgb_led_ws281x: bit: "1"
2362 23524-23529 rgb_led_ws281x: bit: "1"
2363 23529-23534 rgb_led_ws281x: bit: "1"
2364 23534-23539 rgb_led_ws281x: bit: "0"
2365 23539-23544 rgb_led_ws281x: bit: "0"
2366 23544-23549 rgb_led_ws281x: bit: "0"
2367 23549-23554 rgb_led_ws281x: bit: "0"
2368 23554-23559 rgb_led_ws281x: bit: "0"
2369 23559-23564 rgb_led_ws281x: bit: "0"
2370 23564-23569 rgb_led_ws281x: bit: "0"
2371 23569-23574 rgb_led_ws281x: bit: "0"
2372 23574-23579 rgb_led_ws281x: bit: "0"
2373 23579-23584 rgb_led_ws281x: bit: "0"
2374 23584-23589 rgb_led_ws281x: bit: "1"
2375 23589-23594 rgb_led_ws281x: bit: "0"
2376 23594-23599 rgb_led_ws281x: bit: "1"
2377 23599-23604 rgb_led_ws281x: bit: "1"
2378 23604-23609 rgb_led_ws281x: bit: "0"
2379 23609-23614 rgb_led_ws281x: bit: "0"
2380 23494-23534 rgb_led_ws281x: g: "2f"
2381 23534-23574 rgb_led_ws281x: r: "00"
2382 23574-23614 rgb_led_ws281x: b: "2c"
2383 23494-23614 rgb_led_ws281x: rgb: "#002f2c"
2384 23614-23619 rgb_led_ws281x: bit: "0"
2385 23619-23624 rgb_led_ws281x: bit: "0"
2386 23624-23629 rgb_led_ws281x: bit: "0"
2387 23629-23634 rgb_led_ws281x: bit: "0"
2388 23634-23639 rgb_led_ws281x: bit: "0"
2389 23639-23644 rgb_led_ws281x: bit: "0"
2390 23644-23649 rgb_led_ws281x: bit: "0"
2391 23649-23654 rgb_led_ws281x: bit: "0"
2392 23654-23659 rgb_led_ws281x: bit: "0"
2393 23659-23664 rgb_led_ws281x: bit: "0"
2394 23664-23669 rgb_led_ws281x: bit: "0"
2395 23669-23674 rgb_led_ws281x: bit: "0"
2396 23674-23679 rgb_led_ws281x: bit: "0"
2397 23679-23684 rgb_led_ws281x: bit: "0"
2398 23684-23689 rgb_led_ws281x: bit: "0"
2399 23689-23694 rgb_led_ws281x: bit: "0"
2400 23694-23699 rgb_led_ws281x: bit: "0"
2401 23699-23704 rgb_led_ws281x: bit: "0"
2402 23704-23709 rgb_led_ws281x: bit: "0"
2403 23709-23714 rgb_led_ws281x: bit: "0"
2404 23714-23719 rgb_led_ws281x: bit: "0"
2405 23719-23724 rgb_led_ws281x: bit: "0"
2406 23724-23729 rgb_led_ws281x: bit: "0"
2407 23729-23734 rgb_led_ws281x: bit: "0"
2408 23614-23654 rgb_led_ws281x: g: "00"
2409 23654-23694 rgb_led_ws281x: r: "00"
2410 23694-23734 rgb_led_ws281x: b: "00"
2411 23614-23734 rgb_led_ws281x: rgb: "#000000"
2412 23734-23739 rgb_led_ws281x: bit: "0"
2413 23739-23744 rgb_led_ws281x: bit: "0"
2414 23744-23749 rgb_led_ws281x: bit: "0"
2415 23749-23754 rgb_led_ws281x: bit: "0"
2416 23754-23759 rgb_led_ws281x: bit: "0"
2417 23759-23764 rgb_led_ws281x: bit: "0"
2418 23764-23769 rgb_led_ws281x: bit: "0"
2419 23769-23774 rgb_led_ws281x: bit: "0"
2420 23774-23779 rgb_led_ws281x: bit: "0"
2421 23779-23784 rgb_led_ws281x: bit: "0"
2422 23784-23789 rgb_led_ws281x: bit: "0"
2423 23789-23794 rgb_led_ws281x: bit: "0"
2424 23794-23799 rgb_led_ws281x: bit: "0"
2425 23799-23804 rgb_led_ws281x: bit: "0"
2426 23804-23809 rgb_led_ws281x: bit: "0"
2427 23809-23814 rgb_led_ws281x: bit: "0"
2428 23814-23819 rgb_led_ws281x: bit: "0"
2429 23819-23824 rgb_led_ws281x: bit: "0"
2430 23824-23829 rgb_led_ws281x: bit: "0"
2431 23829-23834 rgb_led_ws281x: bit: "0"
2432 23834-23839 rgb_led_ws281x: bit: "0"
2433 23839-23844 rgb_led_ws281x: bit: "0"
2434 23844-23849 rgb_led_ws281x: bit: "0"
2435 23849-23854 rgb_led_ws281x: bit: "0"
2436 23734-23774 rgb_led_ws281x: g: "00"
2437 23774-23814 rgb_led_ws281x: r: "00"
2438 23814-23854 rgb_led_ws281x: b: "00"
2439 23734-23854 rgb_led_ws281x: rgb: "#000000"
2440 23854-23859 rgb_led_ws281x: bit: "0"
2441 23859-23864 rgb_led_ws281x: bit: "0"
2442 23864-23869 rgb_led_ws281x: bit: "0"
2443 23869-23874 rgb_led_ws281x: bit: "0"
2444 23874-23879 rgb_led_ws281x: bit: "0"
2445 23879-23884 rgb_led_ws281x: bit: "0"
2446 23884-23889 rgb_led_ws281x: bit: "0"
2447 23889-23894 rgb_led_ws281x: bit: "0"
2448 23894-23899 rgb_led_ws281x: bit: "0"
2449 23899-23904 rgb_led_ws281x: bit: "0"
2450 23904-23909 rgb_led_ws281x: bit: "0"
2451 23909-23914 rgb_led_ws281x: bit: "0"
2452 23914-23919 rgb_led_ws281x: bit: "0"
2453 23919-23924 rgb_led_ws281x: bit: "0"
2454 23924-23929 rgb_led_ws281x: bit: "0"
2455 23929-23934 rgb_led_ws281x: bit: "0"
2456 23934-23939 rgb_led_ws281x: bit: "0"
2457 23939-23944 rgb_led_ws281x: bit: "0"
2458 23944-23949 rgb_led_ws281x: bit: "0"
2459 23949-23954 rgb_led_ws281x: bit: "0"
2460 23954-23959 rgb_led_ws281x: bit: "0"
2461 23959-23964 rgb_led_ws281x: bit: "0"
2462 23964-23969 rgb_led_ws281x: bit: "0"
2463 23969-23974 rgb_led_ws281x: bit: "0"
2464 23854-23894 rgb_led_ws281x: g: "00"
2465 23894-23934 rgb_led_ws281x: r: "00"
2466 23934-23974 rgb_led_ws281x: b: "00"
2467 23854-23974 rgb_led_ws281x: rgb: "#000000"
2468 23974-23979 rgb_led_ws281x: bit: "0"
2469 23979-23984 rgb_led_ws281x: bit: "0"
2470 23984-23989 rgb_led_ws281x: bit: "0"
2471 23989-23994 rgb_led_ws281x: bit: "0"
2472 23994-23999 rgb_led_ws281x: bit: "0"
2473 23999-24004 rgb_led_ws281x: bit: "0"
2474 24004-24009 rgb_led_ws281x: bit: "0"
2475 24009-24014 rgb_led_ws281x: bit: "0"
2476 24014-24019 rgb_led_ws281x: bit: "0"
2477 24019-24024 rgb_led_ws281x: bit: "0"
2478 24024-24029 rgb_led_ws281x: bit: "0"
2479 24029-24034 rgb_led_ws281x: bit: "0"
2480 24034-24039 rgb_led_ws281x: bit: "0"
2481 24039-24044 rgb_led_ws281x: bit: "0"
2482 24044-24049 rgb_led_ws281x: bit: "0"
2483 24049-24054 rgb_led_ws281x: bit: "0"
2484 24054-24059 rgb_led_ws281x: bit: "0"
2485 24059-24064 rgb_led_ws281x: bit: "0"
2486 24064-24069 rgb_led_ws281x: bit: "0"
2487 24069-24074 rgb_led_ws281x: bit: "0"
2488 24074-24079 rgb_led_ws281x: bit: "0"
2489 24079-24084 rgb_led_ws281x: bit: "0"
2490 24084-24089 rgb_led_ws281x: bit: "0"
2491 24089-24094 rgb_led_ws281x: bit: "0"
2492 23974-24014 rgb_led_ws281x: g: "00"
2493 24014-24054 rgb_led_ws281x: r: "00"
2494 24054-24094 rgb_led_ws281x: b: "00"
2495 23974-24094 rgb_led_ws281x: rgb: "#000000"
2496 24094-24099 rgb_led_ws281x: bit: "0"
2497 24099-24104 rgb_led_ws281x: bit: "0"
2498 24104-24109 rgb_led_ws281x: bit: "0"
2499 24109-24114 rgb_led_ws281x: bit: "0"
2500 24114-24119 rgb_led_ws281x: bit: "0"
2501 24119-24124 rgb_led_ws281x: bit: "0"
2502 24124-24129 rgb_led_ws281x: bit: "0"
2503 24129-24134 rgb_led_ws281x: bit: "0"
2504 24134-24139 rgb_led_ws281x: bit: "0"
2505 24139-24144 rgb_led_ws281x: bit: "0"
2506 24144-24149 rgb_led_ws281x: bit: "0"
2507 24149-24154 rgb_led_ws281x: bit: "0"
2508 24154-24159 rgb_led_ws281x: bit: "0"
2509 24159-24164 rgb_led_ws281x: bit: "0"
2510 24164-24169 rgb_led_ws281x: bit: "0"
2511 24169-24174 rgb_led_ws281x: bit: "0"
2512 24174-24179 rgb_led_ws281x: bit: "0"
2513 24179-24184 rgb_led_ws281x: bit: "0"
2514 24184-24189 rgb_led_ws281x: bit: "0"
2515 24189-24194 rgb_led_ws281x: bit: "0"
2516 24194-24199 rgb_led_ws281x: bit: "0"
2517 24199-24204 rgb_led_ws281x: bit: "0"
2518 24204-24209 rgb_led_ws281x: bit: "0"
2519 24209-24214 rgb_led_ws281x: bit: "0"
2520 24094-24134 rgb_led_ws281x: g: "00"
2521 24134-24174 rgb_led_ws281x: r: "00"
2522 24174-24214 rgb_led_ws281x: b: "00"
2523 24094-24214 rgb_led_ws281x: rgb: "#000000"
2524 24214-24219 rgb_led_ws281x: bit: "0"
2525 24219-24224 rgb_led_ws281x: bit: "0"
2526 24224-24229 rgb_led_ws281x: bit: "0"
2527 24229-24234 rgb_led_ws281x: bit: "0"
2528 24234-24239 rgb_led_ws281x: bit: "0"
2529 24239-24244 rgb_led_ws281x: bit: "0"
2530 24244-24249 rgb_led_ws281x: bit: "0"
2531 24249-24254 rgb_led_ws281x: bit: "0"
2532 24254-24259 rgb_led_ws281x: bit: "0"
2533 24259-24264 rgb_led_ws281x: bit: "0"
2534 24264-24269 rgb_led_ws281x: bit: "0"
2535 24269-24274 rgb_led_ws281x: bit: "0"
2536 24274-24279 rgb_led_ws281x: bit: "0"
2537 24279-24284 rgb_led_ws281x: bit: "0"
2538 24284-24289 rgb_led_ws281x: bit: "0"
2539 24289-24294 rgb_led_ws281x: bit: "0"
2540 24294-24299 rgb_led_ws281x: bit: "0"
2541 24299-24304 rgb_led_ws281x: bit: "0"
2542 24304-24309 rgb_led_ws281x: bit: "0"
2543 24309-24314 rgb_led_ws281x: bit: "0"
2544 24314-24319 rgb_led_ws281x: bit: "0"
2545 24319-24324 rgb_led_ws281x: bit: "0"
2546 24324-24329 rgb_led_ws281x: bit: "0"
2547 24329-24334 rgb_led_ws281x: bit: "0"
2548 24214-24254 rgb_led_ws281x: g: "00"
2549 24254-24294 rgb_led_ws281x: r: "00"
2550 24294-24334 rgb_led_ws281x: b: "00"
2551 24214-24334 rgb_led_ws281x: rgb: "#000000"
2552 24334-24339 rgb_led_ws281x: bit: "0"
2553 24339-24344 rgb_led_ws281x: bit: "0"
2554 24344-24349 rgb_led_ws281x: bit: "0"
2555 24349-24354 rgb_led_ws281x: bit: "0"
2556 24354-24359 rgb_led_ws281x: bit: "0"
2557 24359-24364 rgb_led_ws281x: bit: "0"
2558 24364-24369 rgb_led_ws281x: bit: "0"
2559 24369-24374 rgb_led_ws281x: bit: "0"
2560 24374-24379 rgb_led_ws281x: bit: "0"
2561 24379-24384 rgb_led_ws281x: bit: "0"
2562 24384-24389 rgb_led_ws281x: bit: "0"
2563 24389-24394 rgb_led_ws281x: bit: "0"
2564 24394-24399 rgb_led_ws281x: bit: "0"
2565 24399-24404 rgb_led_ws281x: bit: "0"
2566 24404-24409 rgb_led_ws281x: bit: "0"
2567 24409-24414 rgb_led_ws281x: bit: "0"
2568 24414-24419 rgb_led_ws281x: bit: "0"
2569 24419-24424 rgb_led_ws281x: bit: "0"
2570 24424-24429 rgb_led_ws281x: bit: "0"
2571 24429-24434 rgb_led_ws281x: bit: "0"
2572 24434-24439 rgb_led_ws281x: bit: "0"
2573 24439-24444 rgb_led_ws281x: bit: "0"
2574 24444-24449 rgb_led_ws281x: bit: "0"
2575 24449-24454 rgb_led_ws281x: bit: "0"
2576 24334-24374 rgb_led_ws281x: g: "00"
2577 24374-24414 rgb_led_ws281x: r: "00"
2578 24414-24454 rgb_led_ws281x: b: "00"
2579 24334-24454 rgb_led_ws281x: rgb: "#000000"
2580 24454-24459 rgb_led_ws281x: bit: "0"
2581 24459-24464 rgb_led_ws281x: bit: "0"
2582 24464-24469 rgb_led_ws281x: bit: "0"
2583 24469-24474 rgb_led_ws281x: bit: "0"
2584 24474-24479 rgb_led_ws281x: bit: "0"
2585 24479-24484 rgb_led_ws281x: bit: "0"
2586 24484-24489 rgb_led_ws281x: bit: "0"
2587 24489-24494 rgb_led_ws281x: bit: "0"
2588 24494-24499 rgb_led_ws281x: bit: "0"
2589 24499-24504 rgb_led_ws281x: bit: "0"
2590 24504-24509 rgb_led_ws281x: bit: "0"
2591 24509-24514 rgb_led_ws281x: bit: "0"
2592 24514-24519 rgb_led_ws281x: bit: "0"
2593 24519-24524 rgb_led_ws281x: bit: "0"
2594 24524-24529 rgb_led_ws281x: bit: "0"
2595 24529-24534 rgb_led_ws281x: bit: "0"
2596 24534-24539 rgb_led_ws281x: bit: "0"
2597 24539-24544 rgb_led_ws281x: bit: "0"
2598 24544-24549 rgb_led_ws281x: bit: "0"
2599 24549-24554 rgb_led_ws281x: bit: "0"
2600 24554-24559 rgb_led_ws281x: bit: "0"
2601 24559-24564 rgb_led_ws281x: bit: "0"
2602 24564-24569 rgb_led_ws281x: bit: "0"
2603 24569-24574 rgb_led_ws281x: bit: "0"
2604 24454-24494 rgb_led_ws281x: g: "00"
2605 24494-24534 rgb_led_ws281x: r: "00"
2606 24534-24574 rgb_led_ws281x: b: "00"
2607 24454-24574 rgb_led_ws281x: rgb: "#000000"
2608 24574-24579 rgb_led_ws281x: bit: "0"
2609 24579-24584 rgb_led_ws281x: bit: "0"
2610 24584-24589 rgb_led_ws281x: bit: "0"
2611 24589-24594 rgb_led_ws281x: bit: "0"
2612 24594-24599 rgb_led_ws281x: bit: "0"
2613 24599-24604 rgb_led_ws281x: bit: "0"
2614 24604-24609 rgb_led_ws281x: bit: "0"
2615 24609-24614 rgb_led_ws281x: bit: "0"
2616 24614-24619 rgb_led_ws281x: bit: "0"
2617 24619-24624 rgb_led_ws281x: bit: "0"
2618 24624-24629 rgb_led_ws281x: bit: "0"
2619 24629-24634 rgb_led_ws281x: bit: "0"
2620 24634-24639 rgb_led_ws281x: bit: "0"
2621 24639-24644 rgb_led_ws281x: bit: "0"
2622 24644-24649 rgb_led_ws281x: bit: "0"
2623 24649-24654 rgb_led_ws281x: bit: "0"
2624 24654-24659 rgb_led_ws281x: bit: "0"
2625 24659-24664 rgb_led_ws281x: bit: "0"
2626 24664-24669 rgb_led_ws281x: bit: "0"
2627 24669-24674 rgb_led_ws281x: bit: "0"
2628 24674-24679 rgb_led_ws281x: bit: "0"
2629 24679-24684 rgb_led_ws281x: bit: "0"
2630 24684-24689 rgb_led_ws281x: bit: "0"
2631 24689-24694 rgb_led_ws281x: bit: "0"
2632 24574-24614 rgb_led_ws281x: g: "00"
2633 24614-24654 rgb_led_ws281x: r: "00"
2634 24654-24694 rgb_led_ws281x: b: "00"
2635 24574-24694 rgb_led_ws281x: rgb: "#000000"
2636 24694-24699 rgb_led_ws281x: bit: "0"
2637 24699-24704 rgb_led_ws281x: bit: "0"
2638 24704-24709 rgb_led_ws281x: bit: "0"
2639 24709-24714 rgb_led_ws281x: bit: "0"
2640 24714-24719 rgb_led_ws281x: bit: "0"
2641 24719-24724 rgb_led_ws281x: bit: "0"
2642 24724-24729 rgb_led_ws281x: bit: "0"
2643 24729-24734 rgb_led_ws281x: bit: "0"
2644 24734-24739 rgb_led_ws281x: bit: "0"
2645 24739-24744 rgb_led_ws281x: bit: "0"
2646 24744-24749 rgb_led_ws281x: bit: "0"
2647 24749-24754 rgb_led_ws281x: bit: "0"
2648 24754-24759 rgb_led_ws281x: bit: "0"
2649 24759-24764 rgb_led_ws281x: bit: "0"
2650 24764-24769 rgb_led_ws281x: bit: "0"
2651 24769-24774 rgb_led_ws281x: bit: "0"
2652 24774-24779 rgb_led_ws281x: bit: "0"
2653 24779-24784 rgb_led_ws281x: bit: "0"
2654 24784-24789 rgb_led_ws281x: bit: "0"
2655 24789-24794 rgb_led_ws281x: bit: "0"
2656 24794-24799 rgb_led_ws281x: bit: "0"
2657 24799-24804 rgb_led_ws281x: bit: "0"
2658 24804-24809 rgb_led_ws281x: bit: "0"
2659 24809-24814 rgb_led_ws281x: bit: "0"
2660 24694-24734 rgb_led_ws281x: g: "00"
2661 24734-24774 rgb_led_ws281x: r: "00"
2662 24774-24814 rgb_led_ws281x: b: "00"
2663 24694-24814 rgb_led_ws281x: rgb: "#000000"
2664 24814-24819 rgb_led_ws281x: bit: "0"
2665 24819-24824 rgb_led_ws281x: bit: "0"
2666 24824-24829 rgb_led_ws281x: bit: "0"
2667 24829-24834 rgb_led_ws281x: bit: "0"
2668 24834-24839 rgb_led_ws281x: bit: "0"
2669 24839-24844 rgb_led_ws281x: bit: "0"
2670 24844-24849 rgb_led_ws281x: bit: "0"
2671 24849-24854 rgb_led_ws281x: bit: "0"
2672 24854-24859 rgb_led_ws281x: bit: "0"
2673 24859-24864 rgb_led_ws281x: bit: "0"
2674 24864-24869 rgb_led_ws281x: bit: "0"
2675 24869-24874 rgb_led_ws281x: bit: "0"
2676 24874-24879 rgb_led_ws281x: bit: "0"
2677 24879-24884 rgb_led_ws281x: bit: "0"
2678 24884-24889 rgb_led_ws281x: bit: "0"
2679 24889-24894 rgb_led_ws281x: bit: "0"
2680 24894-24899 rgb_led_ws281x: bit: "0"
2681 24899-24904 rgb_led_ws281x: bit: "0"
2682 24904-24909 rgb_led_ws281x: bit: "0"
2683 24909-24914 rgb_led_ws281x: bit: "0"
2684 24914-24919 rgb_led_ws281x: bit: "0"
2685 24919-24924 rgb_led_ws281x: bit: "0"
2686 24924-24929 rgb_led_ws281x: bit: "0"
2687 24814-24854 rgb_led_ws281x: g: "00"
2688 24854-24894 rgb_led_ws281x: r: "00"
2689 24894-24930 rgb_led_ws281x: b: "00"
2690 24814-24930 rgb_led_ws281x: rgb: "#000000"
2691 24929-24930 rgb_led_ws281x: bit: "0"
2692 24930-25131 rgb_led_ws281x: reset: "RESET" "RST" "R"
2693 28848-28853 rgb_led_ws281x: bit: "0"
2694 28853-28858 rgb_led_ws281x: bit: "0"
2695 28858-28863 rgb_led_ws281x: bit: "0"
2696 28863-28868 rgb_led_ws281x: bit: "0"
2697 28868-28873 rgb_led_ws281x: bit: "0"
2698 28873-28878 rgb_led_ws281x: bit: "0"
2699 28878-28883 rgb_led_ws281x: bit: "0"
2700 28883-28888 rgb_led_ws281x: bit: "0"
2701 28888-28893 rgb_led_ws281x: bit: "0"
2702 28893-28898 rgb_led_ws281x: bit: "0"
2703 28898-28903 rgb_led_ws281x: bit: "0"
2704 28903-28908 rgb_led_ws281x: bit: "0"
2705 28908-28913 rgb_led_ws281x: bit: "0"
2706 28913-28918 rgb_led_ws281x: bit: "0"
2707 28918-28923 rgb_led_ws281x: bit: "0"
2708 28923-28928 rgb_led_ws281x: bit: "0"
2709 28928-28933 rgb_led_ws281x: bit: "0"
2710 28933-28938 rgb_led_ws281x: bit: "0"
2711 28938-28943 rgb_led_ws281x: bit: "0"
2712 28943-28948 rgb_led_ws281x: bit: "0"
2713 28948-28953 rgb_led_ws281x: bit: "0"
2714 28953-28958 rgb_led_ws281x: bit: "0"
2715 28958-28963 rgb_led_ws281x: bit: "0"
2716 28963-28968 rgb_led_ws281x: bit: "0"
2717 28848-28888 rgb_led_ws281x: g: "00"
2718 28888-28928 rgb_led_ws281x: r: "00"
2719 28928-28968 rgb_led_ws281x: b: "00"
2720 28848-28968 rgb_led_ws281x: rgb: "#000000"
2721 28968-28973 rgb_led_ws281x: bit: "0"
2722 28973-28978 rgb_led_ws281x: bit: "0"
2723 28978-28983 rgb_led_ws281x: bit: "0"
2724 28983-28988 rgb_led_ws281x: bit: "0"
2725 28988-28993 rgb_led_ws281x: bit: "0"
2726 28993-28998 rgb_led_ws281x: bit: "0"
2727 28998-29003 rgb_led_ws281x: bit: "0"
2728 29003-29008 rgb_led_ws281x: bit: "0"
2729 29008-29013 rgb_led_ws281x: bit: "0"
2730 29013-29018 rgb_led_ws281x: bit: "0"
2731 29018-29023 rgb_led_ws281x: bit: "0"
2732 29023-29028 rgb_led_ws281x: bit: "0"
2733 29028-29033 rgb_led_ws281x: bit: "0"
2734 29033-29038 rgb_led_ws281x: bit: "0"
2735 29038-29043 rgb_led_ws281x: bit: "0"
2736 29043-29048 rgb_led_ws281x: bit: "0"
2737 29048-29053 rgb_led_ws281x: bit: "0"
2738 29053-29058 rgb_led_ws281x: bit: "0"
2739 29058-29063 rgb_led_ws281x: bit: "0"
2740 29063-29068 rgb_led_ws281x: bit: "0"
2741 29068-29073 rgb_led_ws281x: bit: "0"
2742 29073-29078 rgb_led_ws281x: bit: "0"
2743 29078-29083 rgb_led_ws281x: bit: "0"
2744 29083-29088 rgb_led_ws281x: bit: "0"
2745 28968-29008 rgb_led_ws281x: g: "00"
2746 29008-29048 rgb_led_ws281x: r: "00"
2747 29048-29088 rgb_led_ws281x: b: "00"
2748 28968-29088 rgb_led_ws281x: rgb: "#000000"
2749 29088-29093 rgb_led_ws281x: bit: "0"
2750 29093-29098 rgb_led_ws281x: bit: "0"
2751 29098-29103 rgb_led_ws281x: bit: "0"
2752 29103-29108 rgb_led_ws281x: bit: "0"
2753 29108-29113 rgb_led_ws281x: bit: "0"
2754 29113-29118 rgb_led_ws281x: bit: "0"
2755 29118-29123 rgb_led_ws281x: bit: "0"
2756 29123-29128 rgb_led_ws281x: bit: "0"
2757 29128-29133 rgb_led_ws281x: bit: "0"
2758 29133-29138 rgb_led_ws281x: bit: "0"
2759 29138-29143 rgb_led_ws281x: bit: "0"
2760 29143-29148 rgb_led_ws281x: bit: "0"
2761 29148-29153 rgb_led_ws281x: bit: "0"
2762 29153-29158 rgb_led_ws281x: bit: "0"
2763 29158-29163 rgb_led_ws281x: bit: "0"
2764 29163-29168 rgb_led_ws281x: bit: "0"
2765 29168-29173 rgb_led_ws281x: bit: "0"
2766 29173-29178 rgb_led_ws281x: bit: "0"
2767 29178-29183 rgb_led_ws281x: bit: "0"
2768 29183-29188 rgb_led_ws281x: bit: "0"
2769 29188-29193 rgb_led_ws281x: bit: "0"
2770 29193-29198 rgb_led_ws281x: bit: "0"
2771 29198-29203 rgb_led_ws281x: bit: "0"
2772 29203-29208 rgb_led_ws281x: bit: "0"
2773 29088-29128 rgb_led_ws281x: g: "00"
2774 29128-29168 rgb_led_ws281x: r: "00"
2775 29168-29208 rgb_led_ws281x: b: "00"
2776 29088-29208 rgb_led_ws281x: rgb: "#000000"
2777 29208-29213 rgb_led_ws281x: bit: "0"
2778 29213-29218 rgb_led_ws281x: bit: "0"
2779 29218-29223 rgb_led_ws281x: bit: "0"
2780 29223-29228 rgb_led_ws281x: bit: "0"
2781 29228-29233 rgb_led_ws281x: bit: "0"
2782 29233-29238 rgb_led_ws281x: bit: "0"
2783 29238-29243 rgb_led_ws281x: bit: "0"
2784 29243-29248 rgb_led_ws281x: bit: "0"
2785 29248-29253 rgb_led_ws281x: bit: "0"
2786 29253-29258 rgb_led_ws281x: bit: "0"
2787 29258-29263 rgb_led_ws281x: bit: "0"
2788 29263-29268 rgb_led_ws281x: bit: "0"
2789 29268-29273 rgb_led_ws281x: bit: "0"
2790 29273-29278 rgb_led_ws281x: bit: "0"
2791 29278-29283 rgb_led_ws281x: bit: "0"
2792 29283-29288 rgb_led_ws281x: bit: "0"
2793 29288-29293 rgb_led_ws281x: bit: "0"
2794 29293-29298 rgb_led_ws281x: bit: "0"
2795 29298-29303 rgb_led_ws281x: bit: "0"
2796 29303-29308 rgb_led_ws281x: bit: "0"
2797 29308-29313 rgb_led_ws281x: bit: "0"
2798 29313-29318 rgb_led_ws281x: bit: "0"
2799 29318-29323 rgb_led_ws281x: bit: "0"
2800 29323-29328 rgb_led_ws281x: bit: "0"
2801 29208-29248 rgb_led_ws281x: g: "00"
2802 29248-29288 rgb_led_ws281x: r: "00"
2803 29288-29328 rgb_led_ws281x: b: "00"
2804 29208-29328 rgb_led_ws281x: rgb: "#000000"
2805 29328-29333 rgb_led_ws281x: bit: "0"
2806 29333-29338 rgb_led_ws281x: bit: "0"
2807 29338-29343 rgb_led_ws281x: bit: "0"
2808 29343-29348 rgb_led_ws281x: bit: "0"
2809 29348-29353 rgb_led_ws281x: bit: "0"
2810 29353-29358 rgb_led_ws281x: bit: "0"
2811 29358-29363 rgb_led_ws281x: bit: "0"
2812 29363-29368 rgb_led_ws281x: bit: "0"
2813 29368-29373 rgb_led_ws281x: bit: "0"
2814 29373-29378 rgb_led_ws281x: bit: "0"
2815 29378-29383 rgb_led_ws281x: bit: "0"
2816 29383-29388 rgb_led_ws281x: bit: "0"
2817 29388-29392 rgb_led_ws281x: bit: "0"
2818 29392-29397 rgb_led_ws281x: bit: "0"
2819 29397-29402 rgb_led_ws281x: bit: "0"
2820 29402-29407 rgb_led_ws281x: bit: "0"
2821 29407-29412 rgb_led_ws281x: bit: "0"
2822 29412-29417 rgb_led_ws281x: bit: "0"
2823 29417-29422 rgb_led_ws281x: bit: "0"
2824 29422-29427 rgb_led_ws281x: bit: "0"
2825 29427-29432 rgb_led_ws281x: bit: "0"
2826 29432-29437 rgb_led_ws281x: bit: "0"
2827 29437-29442 rgb_led_ws281x: bit: "0"
2828 29442-29447 rgb_led_ws281x: bit: "0"
2829 29328-29368 rgb_led_ws281x: g: "00"
2830 29368-29407 rgb_led_ws281x: r: "00"
2831 29407-29447 rgb_led_ws281x: b: "00"
2832 29328-29447 rgb_led_ws281x: rgb: "#000000"
2833 29447-29452 rgb_led_ws281x: bit: "0"
2834 29452-29457 rgb_led_ws281x: bit: "0"
2835 29457-29462 rgb_led_ws281x: bit: "0"
2836 29462-29467 rgb_led_ws281x: bit: "0"
2837 29467-29472 rgb_led_ws281x: bit: "0"
2838 29472-29477 rgb_led_ws281x: bit: "0"
2839 29477-29482 rgb_led_ws281x: bit: "0"
2840 29482-29487 rgb_led_ws281x: bit: "0"
2841 29487-29492 rgb_led_ws281x: bit: "0"
2842 29492-29497 rgb_led_ws281x: bit: "0"
2843 29497-29502 rgb_led_ws281x: bit: "0"
2844 29502-29507 rgb_led_ws281x: bit: "0"
2845 29507-29512 rgb_led_ws281x: bit: "0"
2846 29512-29517 rgb_led_ws281x: bit: "0"
2847 29517-29522 rgb_led_ws281x: bit: "0"
2848 29522-29527 rgb_led_ws281x: bit: "0"
2849 29527-29532 rgb_led_ws281x: bit: "0"
2850 29532-29537 rgb_led_ws281x: bit: "0"
2851 29537-29542 rgb_led_ws281x: bit: "0"
2852 29542-29547 rgb_led_ws281x: bit: "0"
2853 29547-29552 rgb_led_ws281x: bit: "0"
2854 29552-29557 rgb_led_ws281x: bit: "0"
2855 29557-29562 rgb_led_ws281x: bit: "0"
2856 29562-29567 rgb_led_ws281x: bit: "0"
2857 29447-29487 rgb_led_ws281x: g: "00"
2858 29487-29527 rgb_led_ws281x: r: "00"
2859 29527-29567 rgb_led_ws281x: b: "00"
2860 29447-29567 rgb_led_ws281x: rgb: "#000000"
2861 29567-29572 rgb_led_ws281x: bit: "0"
2862 29572-29577 rgb_led_ws281x: bit: "0"
2863 29577-29582 rgb_led_ws281x: bit: "0"
2864 29582-29587 rgb_led_ws281x: bit: "0"
2865 29587-29592 rgb_led_ws281x: bit: "0"
2866 29592-29597 rgb_led_ws281x: bit: "0"
2867 29597-29602 rgb_led_ws281x: bit: "0"
2868 29602-29607 rgb_led_ws281x: bit: "0"
2869 29607-29612 rgb_led_ws281x: bit: "0"
2870 29612-29617 rgb_led_ws281x: bit: "0"
2871 29617-29622 rgb_led_ws281x: bit: "0"
2872 29622-29627 rgb_led_ws281x: bit: "0"
2873 29627-29632 rgb_led_ws281x: bit: "0"
2874 29632-29637 rgb_led_ws281x: bit: "0"
2875 29637-29642 rgb_led_ws281x: bit: "0"
2876 29642-29647 rgb_led_ws281x: bit: "0"
2877 29647-29652 rgb_led_ws281x: bit: "0"
2878 29652-29657 rgb_led_ws281x: bit: "0"
2879 29657-29662 rgb_led_ws281x: bit: "0"
2880 29662-29667 rgb_led_ws281x: bit: "0"
2881 29667-29672 rgb_led_ws281x: bit: "0"
2882 29672-29677 rgb_led_ws281x: bit: "0"
2883 29677-29682 rgb_led_ws281x: bit: "0"
2884 29682-29687 rgb_led_ws281x: bit: "0"
2885 29567-29607 rgb_led_ws281x: g: "00"
2886 29607-29647 rgb_led_ws281x: r: "00"
2887 29647-29687 rgb_led_ws281x: b: "00"
2888 29567-29687 rgb_led_ws281x: rgb: "#000000"
2889 29687-29692 rgb_led_ws281x: bit: "0"
2890 29692-29697 rgb_led_ws281x: bit: "0"
2891 29697-29702 rgb_led_ws281x: bit: "0"
2892 29702-29707 rgb_led_ws281x: bit: "0"
2893 29707-29712 rgb_led_ws281x: bit: "0"
2894 29712-29717 rgb_led_ws281x: bit: "0"
2895 29717-29722 rgb_led_ws281x: bit: "1"
2896 29722-29727 rgb_led_ws281x: bit: "0"
2897 29727-29732 rgb_led_ws281x: bit: "0"
2898 29732-29737 rgb_led_ws281x: bit: "0"
2899 29737-29742 rgb_led_ws281x: bit: "0"
2900 29742-29747 rgb_led_ws281x: bit: "0"
2901 29747-29752 rgb_led_ws281x: bit: "0"
2902 29752-29757 rgb_led_ws281x: bit: "0"
2903 29757-29762 rgb_led_ws281x: bit: "0"
2904 29762-29767 rgb_led_ws281x: bit: "0"
2905 29767-29772 rgb_led_ws281x: bit: "0"
2906 29772-29777 rgb_led_ws281x: bit: "0"
2907 29777-29782 rgb_led_ws281x: bit: "0"
2908 29782-29787 rgb_led_ws281x: bit: "0"
2909 29787-29792 rgb_led_ws281x: bit: "0"
2910 29792-29797 rgb_led_ws281x: bit: "0"
2911 29797-29802 rgb_led_ws281x: bit: "1"
2912 29802-29807 rgb_led_ws281x: bit: "0"
2913 29687-29727 rgb_led_ws281x: g: "02"
2914 29727-29767 rgb_led_ws281x: r: "00"
2915 29767-29807 rgb_led_ws281x: b: "02"
2916 29687-29807 rgb_led_ws281x: rgb: "#000202"
2917 29807-29812 rgb_led_ws281x: bit: "0"
2918 29812-29817 rgb_led_ws281x: bit: "0"
2919 29817-29822 rgb_led_ws281x: bit: "0"
2920 29822-29827 rgb_led_ws281x: bit: "0"
2921 29827-29832 rgb_led_ws281x: bit: "0"
2922 29832-29837 rgb_led_ws281x: bit: "1"
2923 29837-29842 rgb_led_ws281x: bit: "1"
2924 29842-29847 rgb_led_ws281x: bit: "0"
2925 29847-29852 rgb_led_ws281x: bit: "0"
2926 29852-29857 rgb_led_ws281x: bit: "0"
2927 29857-29862 rgb_led_ws281x: bit: "0"
2928 29862-29867 rgb_led_ws281x: bit: "0"
2929 29867-29872 rgb_led_ws281x: bit: "0"
2930 29872-29877 rgb_led_ws281x: bit: "0"
2931 29877-29882 rgb_led_ws281x: bit: "0"
2932 29882-29887 rgb_led_ws281x: bit: "0"
2933 29887-29892 rgb_led_ws281x: bit: "0"
2934 29892-29897 rgb_led_ws281x: bit: "0"
2935 29897-29902 rgb_led_ws281x: bit: "0"
2936 29902-29907 rgb_led_ws281x: bit: "0"
2937 29907-29912 rgb_led_ws281x: bit: "0"
2938 29912-29917 rgb_led_ws281x: bit: "1"
2939 29917-29922 rgb_led_ws281x: bit: "1"
2940 29922-29927 rgb_led_ws281x: bit: "0"
2941 29807-29847 rgb_led_ws281x: g: "06"
2942 29847-29887 rgb_led_ws281x: r: "00"
2943 29887-29927 rgb_led_ws281x: b: "06"
2944 29807-29927 rgb_led_ws281x: rgb: "#000606"
2945 29927-29932 rgb_led_ws281x: bit: "0"
2946 29932-29937 rgb_led_ws281x: bit: "0"
2947 29937-29942 rgb_led_ws281x: bit: "0"
2948 29942-29947 rgb_led_ws281x: bit: "0"
2949 29947-29952 rgb_led_ws281x: bit: "1"
2950 29952-29957 rgb_led_ws281x: bit: "1"
2951 29957-29962 rgb_led_ws281x: bit: "0"
2952 29962-29967 rgb_led_ws281x: bit: "1"
2953 29967-29972 rgb_led_ws281x: bit: "0"
2954 29972-29977 rgb_led_ws281x: bit: "0"
2955 29977-29982 rgb_led_ws281x: bit: "0"
2956 29982-29987 rgb_led_ws281x: bit: "0"
2957 29987-29992 rgb_led_ws281x: bit: "0"
2958 29992-29997 rgb_led_ws281x: bit: "0"
2959 29997-30002 rgb_led_ws281x: bit: "0"
2960 30002-30007 rgb_led_ws281x: bit: "0"
2961 30007-30012 rgb_led_ws281x: bit: "0"
2962 30012-30017 rgb_led_ws281x: bit: "0"
2963 30017-30022 rgb_led_ws281x: bit: "0"
2964 30022-30027 rgb_led_ws281x: bit: "0"
2965 30027-30032 rgb_led_ws281x: bit: "1"
2966 30032-30037 rgb_led_ws281x: bit: "1"
2967 30037-30042 rgb_led_ws281x: bit: "0"
2968 30042-30047 rgb_led_ws281x: bit: "0"
2969 29927-29967 rgb_led_ws281x: g: "0d"
2970 29967-30007 rgb_led_ws281x: r: "00"
2971 30007-30047 rgb_led_ws281x: b: "0c"
2972 29927-30047 rgb_led_ws281x: rgb: "#000d0c"
2973 30047-30052 rgb_led_ws281x: bit: "0"
2974 30052-30057 rgb_led_ws281x: bit: "0"
2975 30057-30062 rgb_led_ws281x: bit: "0"
2976 30062-30067 rgb_led_ws281x: bit: "1"
2977 30067-30072 rgb_led_ws281x: bit: "0"
2978 30072-30077 rgb_led_ws281x: bit: "1"
2979 30077-30082 rgb_led_ws281x: bit: "0"
2980 30082-30087 rgb_led_ws281x: bit: "1"
2981 30087-30092 rgb_led_ws281x: bit: "0"
2982 30092-30097 rgb_led_ws281x: bit: "0"
2983 30097-30102 rgb_led_ws281x: bit: "0"
2984 30102-30107 rgb_led_ws281x: bit: "0"
2985 30107-30112 rgb_led_ws281x: bit: "0"
2986 30112-30117 rgb_led_ws281x: bit: "0"
2987 30117-30122 rgb_led_ws281x: bit: "0"
2988 30122-30127 rgb_led_ws281x: bit: "0"
2989 30127-30132 rgb_led_ws281x: bit: "0"
2990 30132-30137 rgb_led_ws281x: bit: "0"
2991 30137-30142 rgb_led_ws281x: bit: "0"
2992 30142-30147 rgb_led_ws281x: bit: "1"
2993 30147-30152 rgb_led_ws281x: bit: "0"
2994 30152-30157 rgb_led_ws281x: bit: "1"
2995 30157-30162 rgb_led_ws281x: bit: "0"
2996 30162-30167 rgb_led_ws281x: bit: "0"
2997 30047-30087 rgb_led_ws281x: g: "15"
2998 30087-30127 rgb_led_ws281x: r: "00"
2999 30127-30167 rgb_led_ws281x: b: "14"
3000 30047-30167 rgb_led_ws281x: rgb: "#001514"
3001 30167-30172 rgb_led_ws281x: bit: "0"
3002 30172-30177 rgb_led_ws281x: bit: "0"
3003 30177-30182 rgb_led_ws281x: bit: "1"
3004 30182-30187 rgb_led_ws281x: bit: "0"
3005 30187-30192 rgb_led_ws281x: bit: "0"
3006 30192-30197 rgb_led_ws281x: bit: "0"
3007 30197-30202 rgb_led_ws281x: bit: "0"
3008 30202-30207 rgb_led_ws281x: bit: "1"
3009 30207-30212 rgb_led_ws281x: bit: "0"
3010 30212-30217 rgb_led_ws281x: bit: "0"
3011 30217-30222 rgb_led_ws281x: bit: "0"
3012 30222-30227 rgb_led_ws281x: bit: "0"
3013 30227-30232 rgb_led_ws281x: bit: "0"
3014 30232-30237 rgb_led_ws281x: bit: "0"
3015 30237-30242 rgb_led_ws281x: bit: "0"
3016 30242-30247 rgb_led_ws281x: bit: "0"
3017 30247-30252 rgb_led_ws281x: bit: "0"
3018 30252-30257 rgb_led_ws281x: bit: "0"
3019 30257-30262 rgb_led_ws281x: bit: "0"
3020 30262-30267 rgb_led_ws281x: bit: "1"
3021 30267-30272 rgb_led_ws281x: bit: "1"
3022 30272-30277 rgb_led_ws281x: bit: "1"
3023 30277-30282 rgb_led_ws281x: bit: "1"
3024 30282-30287 rgb_led_ws281x: bit: "0"
3025 30167-30207 rgb_led_ws281x: g: "21"
3026 30207-30247 rgb_led_ws281x: r: "00"
3027 30247-30287 rgb_led_ws281x: b: "1e"
3028 30167-30287 rgb_led_ws281x: rgb: "#00211e"
3029 30287-30292 rgb_led_ws281x: bit: "0"
3030 30292-30297 rgb_led_ws281x: bit: "0"
3031 30297-30302 rgb_led_ws281x: bit: "1"
3032 30302-30307 rgb_led_ws281x: bit: "0"
3033 30307-30312 rgb_led_ws281x: bit: "1"
3034 30312-30317 rgb_led_ws281x: bit: "1"
3035 30317-30322 rgb_led_ws281x: bit: "1"
3036 30322-30327 rgb_led_ws281x: bit: "0"
3037 30327-30332 rgb_led_ws281x: bit: "0"
3038 30332-30337 rgb_led_ws281x: bit: "0"
3039 30337-30342 rgb_led_ws281x: bit: "0"
3040 30342-30347 rgb_led_ws281x: bit: "0"
3041 30347-30352 rgb_led_ws281x: bit: "0"
3042 30352-30357 rgb_led_ws281x: bit: "0"
3043 30357-30362 rgb_led_ws281x: bit: "0"
3044 30362-30367 rgb_led_ws281x: bit: "0"
3045 30367-30372 rgb_led_ws281x: bit: "0"
3046 30372-30377 rgb_led_ws281x: bit: "0"
3047 30377-30382 rgb_led_ws281x: bit: "1"
3048 30382-30387 rgb_led_ws281x: bit: "0"
3049 30387-30392 rgb_led_ws281x: bit: "1"
3050 30392-30397 rgb_led_ws281x: bit: "0"
3051 30397-30402 rgb_led_ws281x: bit: "1"
3052 30402-30407 rgb_led_ws281x: bit: "1"
3053 30287-30327 rgb_led_ws281x: g: "2e"
3054 30327-30367 rgb_led_ws281x: r: "00"
3055 30367-30407 rgb_led_ws281x: b: "2b"
3056 30287-30407 rgb_led_ws281x: rgb: "#002e2b"
3057 30407-30412 rgb_led_ws281x: bit: "0"
3058 30412-30417 rgb_led_ws281x: bit: "0"
3059 30417-30422 rgb_led_ws281x: bit: "0"
3060 30422-30427 rgb_led_ws281x: bit: "0"
3061 30427-30432 rgb_led_ws281x: bit: "0"
3062 30432-30437 rgb_led_ws281x: bit: "0"
3063 30437-30442 rgb_led_ws281x: bit: "0"
3064 30442-30447 rgb_led_ws281x: bit: "0"
3065 30447-30452 rgb_led_ws281x: bit: "0"
3066 30452-30457 rgb_led_ws281x: bit: "0"
3067 30457-30462 rgb_led_ws281x: bit: "0"
3068 30462-30467 rgb_led_ws281x: bit: "0"
3069 30467-30472 rgb_led_ws281x: bit: "0"
3070 30472-30477 rgb_led_ws281x: bit: "0"
3071 30477-30482 rgb_led_ws281x: bit: "0"
3072 30482-30487 rgb_led_ws281x: bit: "0"
3073 30487-30492 rgb_led_ws281x: bit: "0"
3074 30492-30497 rgb_led_ws281x: bit: "0"
3075 30497-30502 rgb_led_ws281x: bit: "0"
3076 30502-30507 rgb_led_ws281x: bit: "0"
3077 30507-30512 rgb_led_ws281x: bit: "0"
3078 30512-30517 rgb_led_ws281x: bit: "0"
3079 30517-30522 rgb_led_ws281x: bit: "0"
3080 30522-30527 rgb_led_ws281x: bit: "0"
3081 30407-30447 rgb_led_ws281x: g: "00"
3082 30447-30487 rgb_led_ws281x: r: "00"
3083 30487-30527 rgb_led_ws281x: b: "00"
3084 30407-30527 rgb_led_ws281x: rgb: "#000000"
3085 30527-30532 rgb_led_ws281x: bit: "0"
3086 30532-30537 rgb_led_ws281x: bit: "0"
3087 30537-30542 rgb_led_ws281x: bit: "0"
3088 30542-30547 rgb_led_ws281x: bit: "0"
3089 30547-30552 rgb_led_ws281x: bit: "0"
3090 30552-30557 rgb_led_ws281x: bit: "0"
3091 30557-30562 rgb_led_ws281x: bit: "0"
3092 30562-30567 rgb_led_ws281x: bit: "0"
3093 30567-30572 rgb_led_ws281x: bit: "0"
3094 30572-30577 rgb_led_ws281x: bit: "0"
3095 30577-30582 rgb_led_ws281x: bit: "0"
3096 30582-30587 rgb_led_ws281x: bit: "0"
3097 30587-30592 rgb_led_ws281x: bit: "0"
3098 30592-30597 rgb_led_ws281x: bit: "0"
3099 30597-30602 rgb_led_ws281x: bit: "0"
3100 30602-30607 rgb_led_ws281x: bit: "0"
3101 30607-30612 rgb_led_ws281x: bit: "0"
3102 30612-30617 rgb_led_ws281x: bit: "0"
3103 30617-30622 rgb_led_ws281x: bit: "0"
3104 30622-30627 rgb_led_ws281x: bit: "0"
3105 30627-30632 rgb_led_ws281x: bit: "0"
3106 30632-30637 rgb_led_ws281x: bit: "0"
3107 30637-30642 rgb_led_ws281x: bit: "0"
3108 30642-30647 rgb_led_ws281x: bit: "0"
3109 30527-30567 rgb_led_ws281x: g: "00"
3110 30567-30607 rgb_led_ws281x: r: "00"
3111 30607-30647 rgb_led_ws281x: b: "00"
3112 30527-30647 rgb_led_ws281x: rgb: "#000000"
3113 30647-30652 rgb_led_ws281x: bit: "0"
3114 30652-30657 rgb_led_ws281x: bit: "0"
3115 30657-30662 rgb_led_ws281x: bit: "0"
3116 30662-30667 rgb_led_ws281x: bit: "0"
3117 30667-30672 rgb_led_ws281x: bit: "0"
3118 30672-30677 rgb_led_ws281x: bit: "0"
3119 30677-30682 rgb_led_ws281x: bit: "0"
3120 30682-30687 rgb_led_ws281x: bit: "0"
3121 30687-30692 rgb_led_ws281x: bit: "0"
3122 30692-30697 rgb_led_ws281x: bit: "0"
3123 30697-30702 rgb_led_ws281x: bit: "0"
3124 30702-30707 rgb_led_ws281x: bit: "0"
3125 30707-30712 rgb_led_ws281x: bit: "0"
3126 30712-30717 rgb_led_ws281x: bit: "0"
3127 30717-30722 rgb_led_ws281x: bit: "0"
3128 30722-30727 rgb_led_ws281x: bit: "0"
3129 30727-30732 rgb_led_ws281x: bit: "0"
3130 30732-30737 rgb_led_ws281x: bit: "0"
3131 30737-30742 rgb_led_ws281x: bit: "0"
3132 30742-30747 rgb_led_ws281x: bit: "0"
3133 30747-30752 rgb_led_ws281x: bit: "0"
3134 30752-30757 rgb_led_ws281x: bit: "0"
3135 30757-30762 rgb_led_ws281x: bit: "0"
3136 30762-30767 rgb_led_ws281x: bit: "0"
3137 30647-30687 rgb_led_ws281x: g: "00"
3138 30687-30727 rgb_led_ws281x: r: "00"
3139 30727-30767 rgb_led_ws281x: b: "00"
3140 30647-30767 rgb_led_ws281x: rgb: "#000000"
3141 30767-30772 rgb_led_ws281x: bit: "0"
3142 30772-30777 rgb_led_ws281x: bit: "0"
3143 30777-30782 rgb_led_ws281x: bit: "0"
3144 30782-30787 rgb_led_ws281x: bit: "0"
3145 30787-30792 rgb_led_ws281x: bit: "0"
3146 30792-30797 rgb_led_ws281x: bit: "0"
3147 30797-30802 rgb_led_ws281x: bit: "0"
3148 30802-30807 rgb_led_ws281x: bit: "0"
3149 30807-30812 rgb_led_ws281x: bit: "0"
3150 30812-30817 rgb_led_ws281x: bit: "0"
3151 30817-30822 rgb_led_ws281x: bit: "0"
3152 30822-30827 rgb_led_ws281x: bit: "0"
3153 30827-30832 rgb_led_ws281x: bit: "0"
3154 30832-30837 rgb_led_ws281x: bit: "0"
3155 30837-30842 rgb_led_ws281x: bit: "0"
3156 30842-30847 rgb_led_ws281x: bit: "0"
3157 30847-30852 rgb_led_ws281x: bit: "0"
3158 30852-30857 rgb_led_ws281x: bit: "0"
3159 30857-30862 rgb_led_ws281x: bit: "0"
3160 30862-30867 rgb_led_ws281x: bit: "0"
3161 30867-30872 rgb_led_ws281x: bit: "0"
3162 30872-30877 rgb_led_ws281x: bit: "0"
3163 30877-30882 rgb_led_ws281x: bit: "0"
3164 30882-30887 rgb_led_ws281x: bit: "0"
3165 30767-30807 rgb_led_ws281x: g: "00"
3166 30807-30847 rgb_led_ws281x: r: "00"
3167 30847-30887 rgb_led_ws281x: b: "00"
3168 30767-30887 rgb_led_ws281x: rgb: "#000000"
3169 30887-30892 rgb_led_ws281x: bit: "0"
3170 30892-30897 rgb_led_ws281x: bit: "0"
3171 30897-30902 rgb_led_ws281x: bit: "0"
3172 30902-30907 rgb_led_ws281x: bit: "0"
3173 30907-30912 rgb_led_ws281x: bit: "0"
3174 30912-30917 rgb_led_ws281x: bit: "0"
3175 30917-30922 rgb_led_ws281x: bit: "0"
3176 30922-30927 rgb_led_ws281x: bit: "0"
3177 30927-30932 rgb_led_ws281x: bit: "0"
3178 30932-30937 rgb_led_ws281x: bit: "0"
3179 30937-30942 rgb_led_ws281x: bit: "0"
3180 30942-30947 rgb_led_ws281x: bit: "0"
3181 30947-30952 rgb_led_ws281x: bit: "0"
3182 30952-30957 rgb_led_ws281x: bit: "0"
3183 30957-30962 rgb_led_ws281x: bit: "0"
3184 30962-30967 rgb_led_ws281x: bit: "0"
3185 30967-30972 rgb_led_ws281x: bit: "0"
3186 30972-30977 rgb_led_ws281x: bit: "0"
3187 30977-30982 rgb_led_ws281x: bit: "0"
3188 30982-30987 rgb_led_ws281x: bit: "0"
3189 30987-30992 rgb_led_ws281x: bit: "0"
3190 30992-30997 rgb_led_ws281x: bit: "0"
3191 30997-31002 rgb_led_ws281x: bit: "0"
3192 31002-31007 rgb_led_ws281x: bit: "0"
3193 30887-30927 rgb_led_ws281x: g: "00"
3194 30927-30967 rgb_led_ws281x: r: "00"
3195 30967-31007 rgb_led_ws281x: b: "00"
3196 30887-31007 rgb_led_ws281x: rgb: "#000000"
3197 31007-31012 rgb_led_ws281x: bit: "0"
3198 31012-31017 rgb_led_ws281x: bit: "0"
3199 31017-31022 rgb_led_ws281x: bit: "0"
3200 31022-31027 rgb_led_ws281x: bit: "0"
3201 31027-31032 rgb_led_ws281x: bit: "0"
3202 31032-31037 rgb_led_ws281x: bit: "0"
3203 31037-31042 rgb_led_ws281x: bit: "0"
3204 31042-31047 rgb_led_ws281x: bit: "0"
3205 31047-31052 rgb_led_ws281x: bit: "0"
3206 31052-31057 rgb_led_ws281x: bit: "0"
3207 31057-31062 rgb_led_ws281x: bit: "0"
3208 31062-31067 rgb_led_ws281x: bit: "0"
3209 31067-31072 rgb_led_ws281x: bit: "0"
3210 31072-31077 rgb_led_ws281x: bit: "0"
3211 31077-31082 rgb_led_ws281x: bit: "0"
3212 31082-31087 rgb_led_ws281x: bit: "0"
3213 31087-31092 rgb_led_ws281x: bit: "0"
3214 31092-31097 rgb_led_ws281x: bit: "0"
3215 31097-31102 rgb_led_ws281x: bit: "0"
3216 31102-31107 rgb_led_ws281x: bit: "0"
3217 31107-31112 rgb_led_ws281x: bit: "0"
3218 31112-31117 rgb_led_ws281x: bit: "0"
3219 31117-31122 rgb_led_ws281x: bit: "0"
3220 31122-31127 rgb_led_ws281x: bit: "0"
3221 31007-31047 rgb_led_ws281x: g: "00"
3222 31047-31087 rgb_led_ws281x: r: "00"
3223 31087-31127 rgb_led_ws281x: b: "00"
3224 31007-31127 rgb_led_ws281x: rgb: "#000000"
3225 31127-31132 rgb_led_ws281x: bit: "0"
3226 31132-31137 rgb_led_ws281x: bit: "0"
3227 31137-31142 rgb_led_ws281x: bit: "0"
3228 31142-31147 rgb_led_ws281x: bit: "0"
3229 31147-31152 rgb_led_ws281x: bit: "0"
3230 31152-31157 rgb_led_ws281x: bit: "0"
3231 31157-31162 rgb_led_ws281x: bit: "0"
3232 31162-31167 rgb_led_ws281x: bit: "0"
3233 31167-31172 rgb_led_ws281x: bit: "0"
3234 31172-31177 rgb_led_ws281x: bit: "0"
3235 31177-31182 rgb_led_ws281x: bit: "0"
3236 31182-31187 rgb_led_ws281x: bit: "0"
3237 31187-31192 rgb_led_ws281x: bit: "0"
3238 31192-31197 rgb_led_ws281x: bit: "0"
3239 31197-31202 rgb_led_ws281x: bit: "0"
3240 31202-31207 rgb_led_ws281x: bit: "0"
3241 31207-31212 rgb_led_ws281x: bit: "0"
3242 31212-31217 rgb_led_ws281x: bit: "0"
3243 31217-31222 rgb_led_ws281x: bit: "0"
3244 31222-31227 rgb_led_ws281x: bit: "0"
3245 31227-31232 rgb_led_ws281x: bit: "0"
3246 31232-31237 rgb_led_ws281x: bit: "0"
3247 31237-31242 rgb_led_ws281x: bit: "0"
3248 31242-31247 rgb_led_ws281x: bit: "0"
3249 31127-31167 rgb_led_ws281x: g: "00"
3250 31167-31207 rgb_led_ws281x: r: "00"
3251 31207-31247 rgb_led_ws281x: b: "00"
3252 31127-31247 rgb_led_ws281x: rgb: "#000000"
3253 31247-31252 rgb_led_ws281x: bit: "0"
3254 31252-31257 rgb_led_ws281x: bit: "0"
3255 31257-31262 rgb_led_ws281x: bit: "0"
3256 31262-31267 rgb_led_ws281x: bit: "0"
3257 31267-31272 rgb_led_ws281x: bit: "0"
3258 31272-31277 rgb_led_ws281x: bit: "0"
3259 31277-31282 rgb_led_ws281x: bit: "0"
3260 31282-31287 rgb_led_ws281x: bit: "0"
3261 31287-31292 rgb_led_ws281x: bit: "0"
3262 31292-31297 rgb_led_ws281x: bit: "0"
3263 31297-31302 rgb_led_ws281x: bit: "0"
3264 31302-31307 rgb_led_ws281x: bit: "0"
3265 31307-31312 rgb_led_ws281x: bit: "0"
3266 31312-31317 rgb_led_ws281x: bit: "0"
3267 31317-31322 rgb_led_ws281x: bit: "0"
3268 31322-31327 rgb_led_ws281x: bit: "0"
3269 31327-31332 rgb_led_ws281x: bit: "0"
3270 31332-31337 rgb_led_ws281x: bit: "0"
3271 31337-31342 rgb_led_ws281x: bit: "0"
3272 31342-31347 rgb_led_ws281x: bit: "0"
3273 31347-31352 rgb_led_ws281x: bit: "0"
3274 31352-31357 rgb_led_ws281x: bit: "0"
3275 31357-31362 rgb_led_ws281x: bit: "0"
3276 31362-31367 rgb_led_ws281x: bit: "0"
3277 31247-31287 rgb_led_ws281x: g: "00"
3278 31287-31327 rgb_led_ws281x: r: "00"
3279 31327-31367 rgb_led_ws281x: b: "00"
3280 31247-31367 rgb_led_ws281x: rgb: "#000000"
3281 31367-31372 rgb_led_ws281x: bit: "0"
3282 31372-31377 rgb_led_ws281x: bit: "0"
3283 31377-31382 rgb_led_ws281x: bit: "0"
3284 31382-31387 rgb_led_ws281x: bit: "0"
3285 31387-31392 rgb_led_ws281x: bit: "0"
3286 31392-31397 rgb_led_ws281x: bit: "0"
3287 31397-31402 rgb_led_ws281x: bit: "0"
3288 31402-31407 rgb_led_ws281x: bit: "0"
3289 31407-31412 rgb_led_ws281x: bit: "0"
3290 31412-31417 rgb_led_ws281x: bit: "0"
3291 31417-31422 rgb_led_ws281x: bit: "0"
3292 31422-31427 rgb_led_ws281x: bit: "0"
3293 31427-31432 rgb_led_ws281x: bit: "0"
3294 31432-31437 rgb_led_ws281x: bit: "0"
3295 31437-31442 rgb_led_ws281x: bit: "0"
3296 31442-31447 rgb_led_ws281x: bit: "0"
3297 31447-31452 rgb_led_ws281x: bit: "0"
3298 31452-31457 rgb_led_ws281x: bit: "0"
3299 31457-31462 rgb_led_ws281x: bit: "0"
3300 31462-31467 rgb_led_ws281x: bit: "0"
3301 31467-31472 rgb_led_ws281x: bit: "0"
3302 31472-31477 rgb_led_ws281x: bit: "0"
3303 31477-31482 rgb_led_ws281x: bit: "0"
3304 31482-31487 rgb_led_ws281x: bit: "0"
3305 31367-31407 rgb_led_ws281x: g: "00"
3306 31407-31447 rgb_led_ws281x: r: "00"
3307 31447-31487 rgb_led_ws281x: b: "00"
3308 31367-31487 rgb_led_ws281x: rgb: "#000000"
3309 31487-31492 rgb_led_ws281x: bit: "0"
3310 31492-31497 rgb_led_ws281x: bit: "0"
3311 31497-31502 rgb_led_ws281x: bit: "0"
3312 31502-31507 rgb_led_ws281x: bit: "0"
3313 31507-31512 rgb_led_ws281x: bit: "0"
3314 31512-31517 rgb_led_ws281x: bit: "0"
3315 31517-31522 rgb_led_ws281x: bit: "0"
3316 31522-31527 rgb_led_ws281x: bit: "0"
3317 31527-31532 rgb_led_ws281x: bit: "0"
3318 31532-31537 rgb_led_ws281x: bit: "0"
3319 31537-31542 rgb_led_ws281x: bit: "0"
3320 31542-31547 rgb_led_ws281x: bit: "0"
3321 31547-31552 rgb_led_ws281x: bit: "0"
3322 31552-31557 rgb_led_ws281x: bit: "0"
3323 31557-31562 rgb_led_ws281x: bit: "0"
3324 31562-31567 rgb_led_ws281x: bit: "0"
3325 31567-31572 rgb_led_ws281x: bit: "0"
3326 31572-31577 rgb_led_ws281x: bit: "0"
3327 31577-31582 rgb_led_ws281x: bit: "0"
3328 31582-31587 rgb_led_ws281x: bit: "0"
3329 31587-31592 rgb_led_ws281x: bit: "0"
3330 31592-31597 rgb_led_ws281x: bit: "0"
3331 31597-31602 rgb_led_ws281x: bit: "0"
3332 31602-31607 rgb_led_ws281x: bit: "0"
3333 31487-31527 rgb_led_ws281x: g: "00"
3334 31527-31567 rgb_led_ws281x: r: "00"
3335 31567-31607 rgb_led_ws281x: b: "00"
3336 31487-31607 rgb_led_ws281x: rgb: "#000000"
3337 31607-31612 rgb_led_ws281x: bit: "0"
3338 31612-31617 rgb_led_ws281x: bit: "0"
3339 31617-31622 rgb_led_ws281x: bit: "0"
3340 31622-31627 rgb_led_ws281x: bit: "0"
3341 31627-31632 rgb_led_ws281x: bit: "0"
3342 31632-31637 rgb_led_ws281x: bit: "0"
3343 31637-31642 rgb_led_ws281x: bit: "0"
3344 31642-31647 rgb_led_ws281x: bit: "0"
3345 31647-31652 rgb_led_ws281x: bit: "0"
3346 31652-31657 rgb_led_ws281x: bit: "0"
3347 31657-31662 rgb_led_ws281x: bit: "0"
3348 31662-31667 rgb_led_ws281x: bit: "0"
3349 31667-31672 rgb_led_ws281x: bit: "0"
3350 31672-31677 rgb_led_ws281x: bit: "0"
3351 31677-31682 rgb_led_ws281x: bit: "0"
3352 31682-31687 rgb_led_ws281x: bit: "0"
3353 31687-31692 rgb_led_ws281x: bit: "0"
3354 31692-31697 rgb_led_ws281x: bit: "0"
3355 31697-31702 rgb_led_ws281x: bit: "0"
3356 31702-31707 rgb_led_ws281x: bit: "0"
3357 31707-31712 rgb_led_ws281x: bit: "0"
3358 31712-31717 rgb_led_ws281x: bit: "0"
3359 31717-31722 rgb_led_ws281x: bit: "0"
3360 31607-31647 rgb_led_ws281x: g: "00"
3361 31647-31687 rgb_led_ws281x: r: "00"
3362 31687-31723 rgb_led_ws281x: b: "00"
3363 31607-31723 rgb_led_ws281x: rgb: "#000000"
3364 31722-31723 rgb_led_ws281x: bit: "0"
3365 31723-31924 rgb_led_ws281x: reset: "RESET" "RST" "R"
3366 35619-35624 rgb_led_ws281x: bit: "0"
3367 35624-35629 rgb_led_ws281x: bit: "0"
3368 35629-35634 rgb_led_ws281x: bit: "0"
3369 35634-35639 rgb_led_ws281x: bit: "0"
3370 35639-35644 rgb_led_ws281x: bit: "0"
3371 35644-35649 rgb_led_ws281x: bit: "0"
3372 35649-35654 rgb_led_ws281x: bit: "0"
3373 35654-35659 rgb_led_ws281x: bit: "0"
3374 35659-35664 rgb_led_ws281x: bit: "0"
3375 35664-35669 rgb_led_ws281x: bit: "0"
3376 35669-35674 rgb_led_ws281x: bit: "0"
3377 35674-35679 rgb_led_ws281x: bit: "0"
3378 35679-35684 rgb_led_ws281x: bit: "0"
3379 35684-35689 rgb_led_ws281x: bit: "0"
3380 35689-35694 rgb_led_ws281x: bit: "0"
3381 35694-35699 rgb_led_ws281x: bit: "0"
3382 35699-35704 rgb_led_ws281x: bit: "0"
3383 35704-35709 rgb_led_ws281x: bit: "0"
3384 35709-35714 rgb_led_ws281x: bit: "0"
3385 35714-35719 rgb_led_ws281x: bit: "0"
3386 35719-35724 rgb_led_ws281x: bit: "0"
3387 35724-35729 rgb_led_ws281x: bit: "0"
3388 35729-35734 rgb_led_ws281x: bit: "0"
3389 35734-35739 rgb_led_ws281x: bit: "0"
3390 35619-35659 rgb_led_ws281x: g: "00"
3391 35659-35699 rgb_led_ws281x: r: "00"
3392 35699-35739 rgb_led_ws281x: b: "00"
3393 35619-35739 rgb_led_ws281x: rgb: "#000000"
3394 35739-35744 rgb_led_ws281x: bit: "0"
3395 35744-35749 rgb_led_ws281x: bit: "0"
3396 35749-35754 rgb_led_ws281x: bit: "0"
3397 35754-35759 rgb_led_ws281x: bit: "0"
3398 35759-35764 rgb_led_ws281x: bit: "0"
3399 35764-35769 rgb_led_ws281x: bit: "0"
3400 35769-35774 rgb_led_ws281x: bit: "0"
3401 35774-35779 rgb_led_ws281x: bit: "0"
3402 35779-35784 rgb_led_ws281x: bit: "0"
3403 35784-35789 rgb_led_ws281x: bit: "0"
3404 35789-35794 rgb_led_ws281x: bit: "0"
3405 35794-35799 rgb_led_ws281x: bit: "0"
3406 35799-35804 rgb_led_ws281x: bit: "0"
3407 35804-35809 rgb_led_ws281x: bit: "0"
3408 35809-35814 rgb_led_ws281x: bit: "0"
3409 35814-35819 rgb_led_ws281x: bit: "0"
3410 35819-35824 rgb_led_ws281x: bit: "0"
3411 35824-35829 rgb_led_ws281x: bit: "0"
3412 35829-35834 rgb_led_ws281x: bit: "0"
3413 35834-35839 rgb_led_ws281x: bit: "0"
3414 35839-35844 rgb_led_ws281x: bit: "0"
3415 35844-35849 rgb_led_ws281x: bit: "0"
3416 35849-35854 rgb_led_ws281x: bit: "0"
3417 35854-35859 rgb_led_ws281x: bit: "0"
3418 35739-35779 rgb_led_ws281x: g: "00"
3419 35779-35819 rgb_led_ws281x: r: "00"
3420 35819-35859 rgb_led_ws281x: b: "00"
3421 35739-35859 rgb_led_ws281x: rgb: "#000000"
3422 35859-35864 rgb_led_ws281x: bit: "0"
3423 35864-35869 rgb_led_ws281x: bit: "0"
3424 35869-35874 rgb_led_ws281x: bit: "0"
3425 35874-35879 rgb_led_ws281x: bit: "0"
3426 35879-35884 rgb_led_ws281x: bit: "0"
3427 35884-35889 rgb_led_ws281x: bit: "0"
3428 35889-35894 rgb_led_ws281x: bit: "0"
3429 35894-35899 rgb_led_ws281x: bit: "0"
3430 35899-35904 rgb_led_ws281x: bit: "0"
3431 35904-35909 rgb_led_ws281x: bit: "0"
3432 35909-35914 rgb_led_ws281x: bit: "0"
3433 35914-35919 rgb_led_ws281x: bit: "0"
3434 35919-35924 rgb_led_ws281x: bit: "0"
3435 35924-35929 rgb_led_ws281x: bit: "0"
3436 35929-35934 rgb_led_ws281x: bit: "0"
3437 35934-35939 rgb_led_ws281x: bit: "0"
3438 35939-35944 rgb_led_ws281x: bit: "0"
3439 35944-35949 rgb_led_ws281x: bit: "0"
3440 35949-35954 rgb_led_ws281x: bit: "0"
3441 35954-35959 rgb_led_ws281x: bit: "0"
3442 35959-35964 rgb_led_ws281x: bit: "0"
3443 35964-35969 rgb_led_ws281x: bit: "0"
3444 35969-35974 rgb_led_ws281x: bit: "0"
3445 35974-35979 rgb_led_ws281x: bit: "0"
3446 35859-35899 rgb_led_ws281x: g: "00"
3447 35899-35939 rgb_led_ws281x: r: "00"
3448 35939-35979 rgb_led_ws281x: b: "00"
3449 35859-35979 rgb_led_ws281x: rgb: "#000000"
3450 35979-35984 rgb_led_ws281x: bit: "0"
3451 35984-35989 rgb_led_ws281x: bit: "0"
3452 35989-35994 rgb_led_ws281x: bit: "0"
3453 35994-35999 rgb_led_ws281x: bit: "0"
3454 35999-36004 rgb_led_ws281x: bit: "0"
3455 36004-36009 rgb_led_ws281x: bit: "0"
3456 36009-36014 rgb_led_ws281x: bit: "0"
3457 36014-36019 rgb_led_ws281x: bit: "0"
3458 36019-36024 rgb_led_ws281x: bit: "0"
3459 36024-36029 rgb_led_ws281x: bit: "0"
3460 36029-36034 rgb_led_ws281x: bit: "0"
3461 36034-36039 rgb_led_ws281x: bit: "0"
3462 36039-36044 rgb_led_ws281x: bit: "0"
3463 36044-36049 rgb_led_ws281x: bit: "0"
3464 36049-36054 rgb_led_ws281x: bit: "0"
3465 36054-36059 rgb_led_ws281x: bit: "0"
3466 36059-36064 rgb_led_ws281x: bit: "0"
3467 36064-36069 rgb_led_ws281x: bit: "0"
3468 36069-36074 rgb_led_ws281x: bit: "0"
3469 36074-36079 rgb_led_ws281x: bit: "0"
3470 36079-36084 rgb_led_ws281x: bit: "0"
3471 36084-36089 rgb_led_ws281x: bit: "0"
3472 36089-36094 rgb_led_ws281x: bit: "0"
3473 36094-36099 rgb_led_ws281x: bit: "0"
3474 35979-36019 rgb_led_ws281x: g: "00"
3475 36019-36059 rgb_led_ws281x: r: "00"
3476 36059-36099 rgb_led_ws281x: b: "00"
3477 35979-36099 rgb_led_ws281x: rgb: "#000000"
3478 36099-36104 rgb_led_ws281x: bit: "0"
3479 36104-36109 rgb_led_ws281x: bit: "0"
3480 36109-36114 rgb_led_ws281x: bit: "0"
3481 36114-36119 rgb_led_ws281x: bit: "0"
3482 36119-36124 rgb_led_ws281x: bit: "0"
3483 36124-36129 rgb_led_ws281x: bit: "0"
3484 36129-36134 rgb_led_ws281x: bit: "0"
3485 36134-36139 rgb_led_ws281x: bit: "0"
3486 36139-36144 rgb_led_ws281x: bit: "0"
3487 36144-36149 rgb_led_ws281x: bit: "0"
3488 36149-36154 rgb_led_ws281x: bit: "0"
3489 36154-36159 rgb_led_ws281x: bit: "0"
3490 36159-36164 rgb_led_ws281x: bit: "0"
3491 36164-36169 rgb_led_ws281x: bit: "0"
3492 36169-36174 rgb_led_ws281x: bit: "0"
3493 36174-36179 rgb_led_ws281x: bit: "0"
3494 36179-36184 rgb_led_ws281x: bit: "0"
3495 36184-36189 rgb_led_ws281x: bit: "0"
3496 36189-36194 rgb_led_ws281x: bit: "0"
3497 36194-36199 rgb_led_ws281x: bit: "0"
3498 36199-36204 rgb_led_ws281x: bit: "0"
3499 36204-36209 rgb_led_ws281x: bit: "0"
3500 36209-36214 rgb_led_ws281x: bit: "0"
3501 36214-36219 rgb_led_ws281x: bit: "0"
3502 36099-36139 rgb_led_ws281x: g: "00"
3503 36139-36179 rgb_led_ws281x: r: "00"
3504 36179-36219 rgb_led_ws281x: b: "00"
3505 36099-36219 rgb_led_ws281x: rgb: "#000000"
3506 36219-36224 rgb_led_ws281x: bit: "0"
3507 36224-36229 rgb_led_ws281x: bit: "0"
3508 36229-36234 rgb_led_ws281x: bit: "0"
3509 36234-36239 rgb_led_ws281x: bit: "0"
3510 36239-36244 rgb_led_ws281x: bit: "0"
3511 36244-36249 rgb_led_ws281x: bit: "0"
3512 36249-36254 rgb_led_ws281x: bit: "0"
3513 36254-36259 rgb_led_ws281x: bit: "0"
3514 36259-36264 rgb_led_ws281x: bit: "0"
3515 36264-36269 rgb_led_ws281x: bit: "0"
3516 36269-36274 rgb_led_ws281x: bit: "0"
3517 36274-36279 rgb_led_ws281x: bit: "0"
3518 36279-36284 rgb_led_ws281x: bit: "0"
3519 36284-36289 rgb_led_ws281x: bit: "0"
3520 36289-36294 rgb_led_ws281x: bit: "0"
3521 36294-36299 rgb_led_ws281x: bit: "0"
3522 36299-36304 rgb_led_ws281x: bit: "0"
3523 36304-36309 rgb_led_ws281x: bit: "0"
3524 36309-36314 rgb_led_ws281x: bit: "0"
3525 36314-36319 rgb_led_ws281x: bit: "0"
3526 36319-36324 rgb_led_ws281x: bit: "0"
3527 36324-36329 rgb_led_ws281x: bit: "0"
3528 36329-36334 rgb_led_ws281x: bit: "0"
3529 36334-36339 rgb_led_ws281x: bit: "0"
3530 36219-36259 rgb_led_ws281x: g: "00"
3531 36259-36299 rgb_led_ws281x: r: "00"
3532 36299-36339 rgb_led_ws281x: b: "00"
3533 36219-36339 rgb_led_ws281x: rgb: "#000000"
3534 36339-36344 rgb_led_ws281x: bit: "0"
3535 36344-36349 rgb_led_ws281x: bit: "0"
3536 36349-36354 rgb_led_ws281x: bit: "0"
3537 36354-36359 rgb_led_ws281x: bit: "0"
3538 36359-36364 rgb_led_ws281x: bit: "0"
3539 36364-36369 rgb_led_ws281x: bit: "0"
3540 36369-36374 rgb_led_ws281x: bit: "0"
3541 36374-36379 rgb_led_ws281x: bit: "0"
3542 36379-36384 rgb_led_ws281x: bit: "0"
3543 36384-36389 rgb_led_ws281x: bit: "0"
3544 36389-36394 rgb_led_ws281x: bit: "0"
3545 36394-36399 rgb_led_ws281x: bit: "0"
3546 36399-36404 rgb_led_ws281x: bit: "0"
3547 36404-36409 rgb_led_ws281x: bit: "0"
3548 36409-36414 rgb_led_ws281x: bit: "0"
3549 36414-36419 rgb_led_ws281x: bit: "0"
3550 36419-36424 rgb_led_ws281x: bit: "0"
3551 36424-36429 rgb_led_ws281x: bit: "0"
3552 36429-36434 rgb_led_ws281x: bit: "0"
3553 36434-36439 rgb_led_ws281x: bit: "0"
3554 36439-36444 rgb_led_ws281x: bit: "0"
3555 36444-36449 rgb_led_ws281x: bit: "0"
3556 36449-36454 rgb_led_ws281x: bit: "0"
3557 36454-36459 rgb_led_ws281x: bit: "0"
3558 36339-36379 rgb_led_ws281x: g: "00"
3559 36379-36419 rgb_led_ws281x: r: "00"
3560 36419-36459 rgb_led_ws281x: b: "00"
3561 36339-36459 rgb_led_ws281x: rgb: "#000000"
3562 36459-36464 rgb_led_ws281x: bit: "0"
3563 36464-36469 rgb_led_ws281x: bit: "0"
3564 36469-36474 rgb_led_ws281x: bit: "0"
3565 36474-36479 rgb_led_ws281x: bit: "0"
3566 36479-36484 rgb_led_ws281x: bit: "0"
3567 36484-36489 rgb_led_ws281x: bit: "0"
3568 36489-36494 rgb_led_ws281x: bit: "1"
3569 36494-36499 rgb_led_ws281x: bit: "0"
3570 36499-36504 rgb_led_ws281x: bit: "0"
3571 36504-36509 rgb_led_ws281x: bit: "0"
3572 36509-36514 rgb_led_ws281x: bit: "0"
3573 36514-36519 rgb_led_ws281x: bit: "0"
3574 36519-36524 rgb_led_ws281x: bit: "0"
3575 36524-36529 rgb_led_ws281x: bit: "0"
3576 36529-36534 rgb_led_ws281x: bit: "0"
3577 36534-36539 rgb_led_ws281x: bit: "0"
3578 36539-36544 rgb_led_ws281x: bit: "0"
3579 36544-36549 rgb_led_ws281x: bit: "0"
3580 36549-36554 rgb_led_ws281x: bit: "0"
3581 36554-36559 rgb_led_ws281x: bit: "0"
3582 36559-36564 rgb_led_ws281x: bit: "0"
3583 36564-36569 rgb_led_ws281x: bit: "0"
3584 36569-36574 rgb_led_ws281x: bit: "1"
3585 36574-36579 rgb_led_ws281x: bit: "0"
3586 36459-36499 rgb_led_ws281x: g: "02"
3587 36499-36539 rgb_led_ws281x: r: "00"
3588 36539-36579 rgb_led_ws281x: b: "02"
3589 36459-36579 rgb_led_ws281x: rgb: "#000202"
3590 36579-36584 rgb_led_ws281x: bit: "0"
3591 36584-36589 rgb_led_ws281x: bit: "0"
3592 36589-36594 rgb_led_ws281x: bit: "0"
3593 36594-36599 rgb_led_ws281x: bit: "0"
3594 36599-36604 rgb_led_ws281x: bit: "0"
3595 36604-36609 rgb_led_ws281x: bit: "1"
3596 36609-36614 rgb_led_ws281x: bit: "1"
3597 36614-36619 rgb_led_ws281x: bit: "0"
3598 36619-36624 rgb_led_ws281x: bit: "0"
3599 36624-36629 rgb_led_ws281x: bit: "0"
3600 36629-36634 rgb_led_ws281x: bit: "0"
3601 36634-36639 rgb_led_ws281x: bit: "0"
3602 36639-36644 rgb_led_ws281x: bit: "0"
3603 36644-36649 rgb_led_ws281x: bit: "0"
3604 36649-36654 rgb_led_ws281x: bit: "0"
3605 36654-36659 rgb_led_ws281x: bit: "0"
3606 36659-36664 rgb_led_ws281x: bit: "0"
3607 36664-36669 rgb_led_ws281x: bit: "0"
3608 36669-36674 rgb_led_ws281x: bit: "0"
3609 36674-36679 rgb_led_ws281x: bit: "0"
3610 36679-36684 rgb_led_ws281x: bit: "0"
3611 36684-36689 rgb_led_ws281x: bit: "1"
3612 36689-36694 rgb_led_ws281x: bit: "1"
3613 36694-36699 rgb_led_ws281x: bit: "0"
3614 36579-36619 rgb_led_ws281x: g: "06"
3615 36619-36659 rgb_led_ws281x: r: "00"
3616 36659-36699 rgb_led_ws281x: b: "06"
3617 36579-36699 rgb_led_ws281x: rgb: "#000606"
3618 36699-36704 rgb_led_ws281x: bit: "0"
3619 36704-36709 rgb_led_ws281x: bit: "0"
3620 36709-36714 rgb_led_ws281x: bit: "0"
3621 36714-36719 rgb_led_ws281x: bit: "0"
3622 36719-36724 rgb_led_ws281x: bit: "1"
3623 36724-36729 rgb_led_ws281x: bit: "1"
3624 36729-36734 rgb_led_ws281x: bit: "0"
3625 36734-36739 rgb_led_ws281x: bit: "0"
3626 36739-36744 rgb_led_ws281x: bit: "0"
3627 36744-36749 rgb_led_ws281x: bit: "0"
3628 36749-36754 rgb_led_ws281x: bit: "0"
3629 36754-36759 rgb_led_ws281x: bit: "0"
3630 36759-36764 rgb_led_ws281x: bit: "0"
3631 36764-36769 rgb_led_ws281x: bit: "0"
3632 36769-36774 rgb_led_ws281x: bit: "0"
3633 36774-36779 rgb_led_ws281x: bit: "0"
3634 36779-36784 rgb_led_ws281x: bit: "0"
3635 36784-36789 rgb_led_ws281x: bit: "0"
3636 36789-36794 rgb_led_ws281x: bit: "0"
3637 36794-36799 rgb_led_ws281x: bit: "0"
3638 36799-36804 rgb_led_ws281x: bit: "1"
3639 36804-36809 rgb_led_ws281x: bit: "0"
3640 36809-36814 rgb_led_ws281x: bit: "1"
3641 36814-36819 rgb_led_ws281x: bit: "1"
3642 36699-36739 rgb_led_ws281x: g: "0c"
3643 36739-36779 rgb_led_ws281x: r: "00"
3644 36779-36819 rgb_led_ws281x: b: "0b"
3645 36699-36819 rgb_led_ws281x: rgb: "#000c0b"
3646 36819-36824 rgb_led_ws281x: bit: "0"
3647 36824-36829 rgb_led_ws281x: bit: "0"
3648 36829-36834 rgb_led_ws281x: bit: "0"
3649 36834-36839 rgb_led_ws281x: bit: "1"
3650 36839-36844 rgb_led_ws281x: bit: "0"
3651 36844-36849 rgb_led_ws281x: bit: "1"
3652 36849-36854 rgb_led_ws281x: bit: "0"
3653 36854-36859 rgb_led_ws281x: bit: "0"
3654 36859-36864 rgb_led_ws281x: bit: "0"
3655 36864-36869 rgb_led_ws281x: bit: "0"
3656 36869-36874 rgb_led_ws281x: bit: "0"
3657 36874-36879 rgb_led_ws281x: bit: "0"
3658 36879-36884 rgb_led_ws281x: bit: "0"
3659 36884-36889 rgb_led_ws281x: bit: "0"
3660 36889-36894 rgb_led_ws281x: bit: "0"
3661 36894-36899 rgb_led_ws281x: bit: "0"
3662 36899-36904 rgb_led_ws281x: bit: "0"
3663 36904-36909 rgb_led_ws281x: bit: "0"
3664 36909-36914 rgb_led_ws281x: bit: "0"
3665 36914-36919 rgb_led_ws281x: bit: "1"
3666 36919-36924 rgb_led_ws281x: bit: "0"
3667 36924-36929 rgb_led_ws281x: bit: "0"
3668 36929-36934 rgb_led_ws281x: bit: "1"
3669 36934-36939 rgb_led_ws281x: bit: "1"
3670 36819-36859 rgb_led_ws281x: g: "14"
3671 36859-36899 rgb_led_ws281x: r: "00"
3672 36899-36939 rgb_led_ws281x: b: "13"
3673 36819-36939 rgb_led_ws281x: rgb: "#001413"
3674 36939-36944 rgb_led_ws281x: bit: "0"
3675 36944-36949 rgb_led_ws281x: bit: "0"
3676 36949-36954 rgb_led_ws281x: bit: "1"
3677 36954-36959 rgb_led_ws281x: bit: "0"
3678 36959-36964 rgb_led_ws281x: bit: "0"
3679 36964-36969 rgb_led_ws281x: bit: "0"
3680 36969-36974 rgb_led_ws281x: bit: "0"
3681 36974-36979 rgb_led_ws281x: bit: "0"
3682 36979-36984 rgb_led_ws281x: bit: "0"
3683 36984-36989 rgb_led_ws281x: bit: "0"
3684 36989-36994 rgb_led_ws281x: bit: "0"
3685 36994-36999 rgb_led_ws281x: bit: "0"
3686 36999-37004 rgb_led_ws281x: bit: "0"
3687 37004-37009 rgb_led_ws281x: bit: "0"
3688 37009-37014 rgb_led_ws281x: bit: "0"
3689 37014-37019 rgb_led_ws281x: bit: "0"
3690 37019-37024 rgb_led_ws281x: bit: "0"
3691 37024-37029 rgb_led_ws281x: bit: "0"
3692 37029-37034 rgb_led_ws281x: bit: "0"
3693 37034-37039 rgb_led_ws281x: bit: "1"
3694 37039-37044 rgb_led_ws281x: bit: "1"
3695 37044-37049 rgb_led_ws281x: bit: "1"
3696 37049-37054 rgb_led_ws281x: bit: "1"
3697 37054-37059 rgb_led_ws281x: bit: "0"
3698 36939-36979 rgb_led_ws281x: g: "20"
3699 36979-37019 rgb_led_ws281x: r: "00"
3700 37019-37059 rgb_led_ws281x: b: "1e"
3701 36939-37059 rgb_led_ws281x: rgb: "#00201e"
3702 37059-37064 rgb_led_ws281x: bit: "0"
3703 37064-37068 rgb_led_ws281x: bit: "0"
3704 37068-37073 rgb_led_ws281x: bit: "1"
3705 37073-37078 rgb_led_ws281x: bit: "0"
3706 37078-37083 rgb_led_ws281x: bit: "1"
3707 37083-37088 rgb_led_ws281x: bit: "1"
3708 37088-37093 rgb_led_ws281x: bit: "1"
3709 37093-37098 rgb_led_ws281x: bit: "0"
3710 37098-37103 rgb_led_ws281x: bit: "0"
3711 37103-37108 rgb_led_ws281x: bit: "0"
3712 37108-37113 rgb_led_ws281x: bit: "0"
3713 37113-37118 rgb_led_ws281x: bit: "0"
3714 37118-37123 rgb_led_ws281x: bit: "0"
3715 37123-37128 rgb_led_ws281x: bit: "0"
3716 37128-37133 rgb_led_ws281x: bit: "0"
3717 37133-37138 rgb_led_ws281x: bit: "0"
3718 37138-37143 rgb_led_ws281x: bit: "0"
3719 37143-37148 rgb_led_ws281x: bit: "0"
3720 37148-37153 rgb_led_ws281x: bit: "1"
3721 37153-37158 rgb_led_ws281x: bit: "0"
3722 37158-37163 rgb_led_ws281x: bit: "1"
3723 37163-37168 rgb_led_ws281x: bit: "0"
3724 37168-37173 rgb_led_ws281x: bit: "1"
3725 37173-37178 rgb_led_ws281x: bit: "1"
3726 37059-37098 rgb_led_ws281x: g: "2e"
3727 37098-37138 rgb_led_ws281x: r: "00"
3728 37138-37178 rgb_led_ws281x: b: "2b"
3729 37059-37178 rgb_led_ws281x: rgb: "#002e2b"
3730 37178-37183 rgb_led_ws281x: bit: "0"
3731 37183-37188 rgb_led_ws281x: bit: "0"
3732 37188-37193 rgb_led_ws281x: bit: "0"
3733 37193-37198 rgb_led_ws281x: bit: "0"
3734 37198-37203 rgb_led_ws281x: bit: "0"
3735 37203-37208 rgb_led_ws281x: bit: "0"
3736 37208-37213 rgb_led_ws281x: bit: "0"
3737 37213-37218 rgb_led_ws281x: bit: "0"
3738 37218-37223 rgb_led_ws281x: bit: "0"
3739 37223-37228 rgb_led_ws281x: bit: "0"
3740 37228-37233 rgb_led_ws281x: bit: "0"
3741 37233-37238 rgb_led_ws281x: bit: "0"
3742 37238-37243 rgb_led_ws281x: bit: "0"
3743 37243-37248 rgb_led_ws281x: bit: "0"
3744 37248-37253 rgb_led_ws281x: bit: "0"
3745 37253-37258 rgb_led_ws281x: bit: "0"
3746 37258-37263 rgb_led_ws281x: bit: "0"
3747 37263-37268 rgb_led_ws281x: bit: "0"
3748 37268-37273 rgb_led_ws281x: bit: "0"
3749 37273-37278 rgb_led_ws281x: bit: "0"
3750 37278-37283 rgb_led_ws281x: bit: "0"
3751 37283-37288 rgb_led_ws281x: bit: "0"
3752 37288-37293 rgb_led_ws281x: bit: "0"
3753 37293-37298 rgb_led_ws281x: bit: "0"
3754 37178-37218 rgb_led_ws281x: g: "00"
3755 37218-37258 rgb_led_ws281x: r: "00"
3756 37258-37298 rgb_led_ws281x: b: "00"
3757 37178-37298 rgb_led_ws281x: rgb: "#000000"
3758 37298-37303 rgb_led_ws281x: bit: "0"
3759 37303-37308 rgb_led_ws281x: bit: "0"
3760 37308-37313 rgb_led_ws281x: bit: "0"
3761 37313-37318 rgb_led_ws281x: bit: "0"
3762 37318-37323 rgb_led_ws281x: bit: "0"
3763 37323-37328 rgb_led_ws281x: bit: "0"
3764 37328-37333 rgb_led_ws281x: bit: "0"
3765 37333-37338 rgb_led_ws281x: bit: "0"
3766 37338-37343 rgb_led_ws281x: bit: "0"
3767 37343-37348 rgb_led_ws281x: bit: "0"
3768 37348-37353 rgb_led_ws281x: bit: "0"
3769 37353-37358 rgb_led_ws281x: bit: "0"
3770 37358-37363 rgb_led_ws281x: bit: "0"
3771 37363-37368 rgb_led_ws281x: bit: "0"
3772 37368-37373 rgb_led_ws281x: bit: "0"
3773 37373-37378 rgb_led_ws281x: bit: "0"
3774 37378-37383 rgb_led_ws281x: bit: "0"
3775 37383-37388 rgb_led_ws281x: bit: "0"
3776 37388-37393 rgb_led_ws281x: bit: "0"
3777 37393-37398 rgb_led_ws281x: bit: "0"
3778 37398-37403 rgb_led_ws281x: bit: "0"
3779 37403-37408 rgb_led_ws281x: bit: "0"
3780 37408-37413 rgb_led_ws281x: bit: "0"
3781 37413-37418 rgb_led_ws281x: bit: "0"
3782 37298-37338 rgb_led_ws281x: g: "00"
3783 37338-37378 rgb_led_ws281x: r: "00"
3784 37378-37418 rgb_led_ws281x: b: "00"
3785 37298-37418 rgb_led_ws281x: rgb: "#000000"
3786 37418-37423 rgb_led_ws281x: bit: "0"
3787 37423-37428 rgb_led_ws281x: bit: "0"
3788 37428-37433 rgb_led_ws281x: bit: "0"
3789 37433-37438 rgb_led_ws281x: bit: "0"
3790 37438-37443 rgb_led_ws281x: bit: "0"
3791 37443-37448 rgb_led_ws281x: bit: "0"
3792 37448-37453 rgb_led_ws281x: bit: "0"
3793 37453-37458 rgb_led_ws281x: bit: "0"
3794 37458-37463 rgb_led_ws281x: bit: "0"
3795 37463-37468 rgb_led_ws281x: bit: "0"
3796 37468-37473 rgb_led_ws281x: bit: "0"
3797 37473-37478 rgb_led_ws281x: bit: "0"
3798 37478-37483 rgb_led_ws281x: bit: "0"
3799 37483-37488 rgb_led_ws281x: bit: "0"
3800 37488-37493 rgb_led_ws281x: bit: "0"
3801 37493-37498 rgb_led_ws281x: bit: "0"
3802 37498-37503 rgb_led_ws281x: bit: "0"
3803 37503-37508 rgb_led_ws281x: bit: "0"
3804 37508-37513 rgb_led_ws281x: bit: "0"
3805 37513-37518 rgb_led_ws281x: bit: "0"
3806 37518-37523 rgb_led_ws281x: bit: "0"
3807 37523-37528 rgb_led_ws281x: bit: "0"
3808 37528-37533 rgb_led_ws281x: bit: "0"
3809 37533-37538 rgb_led_ws281x: bit: "0"
3810 37418-37458 rgb_led_ws281x: g: "00"
3811 37458-37498 rgb_led_ws281x: r: "00"
3812 37498-37538 rgb_led_ws281x: b: "00"
3813 37418-37538 rgb_led_ws281x: rgb: "#000000"
3814 37538-37543 rgb_led_ws281x: bit: "0"
3815 37543-37548 rgb_led_ws281x: bit: "0"
3816 37548-37553 rgb_led_ws281x: bit: "0"
3817 37553-37558 rgb_led_ws281x: bit: "0"
3818 37558-37563 rgb_led_ws281x: bit: "0"
3819 37563-37568 rgb_led_ws281x: bit: "0"
3820 37568-37573 rgb_led_ws281x: bit: "0"
3821 37573-37578 rgb_led_ws281x: bit: "0"
3822 37578-37583 rgb_led_ws281x: bit: "0"
3823 37583-37588 rgb_led_ws281x: bit: "0"
3824 37588-37593 rgb_led_ws281x: bit: "0"
3825 37593-37598 rgb_led_ws281x: bit: "0"
3826 37598-37603 rgb_led_ws281x: bit: "0"
3827 37603-37608 rgb_led_ws281x: bit: "0"
3828 37608-37613 rgb_led_ws281x: bit: "0"
3829 37613-37618 rgb_led_ws281x: bit: "0"
3830 37618-37623 rgb_led_ws281x: bit: "0"
3831 37623-37628 rgb_led_ws281x: bit: "0"
3832 37628-37633 rgb_led_ws281x: bit: "0"
3833 37633-37638 rgb_led_ws281x: bit: "0"
3834 37638-37643 rgb_led_ws281x: bit: "0"
3835 37643-37648 rgb_led_ws281x: bit: "0"
3836 37648-37653 rgb_led_ws281x: bit: "0"
3837 37653-37658 rgb_led_ws281x: bit: "0"
3838 37538-37578 rgb_led_ws281x: g: "00"
3839 37578-37618 rgb_led_ws281x: r: "00"
3840 37618-37658 rgb_led_ws281x: b: "00"
3841 37538-37658 rgb_led_ws281x: rgb: "#000000"
3842 37658-37663 rgb_led_ws281x: bit: "0"
3843 37663-37668 rgb_led_ws281x: bit: "0"
3844 37668-37673 rgb_led_ws281x: bit: "0"
3845 37673-37678 rgb_led_ws281x: bit: "0"
3846 37678-37683 rgb_led_ws281x: bit: "0"
3847 37683-37688 rgb_led_ws281x: bit: "0"
3848 37688-37693 rgb_led_ws281x: bit: "0"
3849 37693-37698 rgb_led_ws281x: bit: "0"
3850 37698-37703 rgb_led_ws281x: bit: "0"
3851 37703-37708 rgb_led_ws281x: bit: "0"
3852 37708-37713 rgb_led_ws281x: bit: "0"
3853 37713-37718 rgb_led_ws281x: bit: "0"
3854 37718-37723 rgb_led_ws281x: bit: "0"
3855 37723-37728 rgb_led_ws281x: bit: "0"
3856 37728-37733 rgb_led_ws281x: bit: "0"
3857 37733-37738 rgb_led_ws281x: bit: "0"
3858 37738-37743 rgb_led_ws281x: bit: "0"
3859 37743-37748 rgb_led_ws281x: bit: "0"
3860 37748-37753 rgb_led_ws281x: bit: "0"
3861 37753-37758 rgb_led_ws281x: bit: "0"
3862 37758-37763 rgb_led_ws281x: bit: "0"
3863 37763-37768 rgb_led_ws281x: bit: "0"
3864 37768-37773 rgb_led_ws281x: bit: "0"
3865 37773-37778 rgb_led_ws281x: bit: "0"
3866 37658-37698 rgb_led_ws281x: g: "00"
3867 37698-37738 rgb_led_ws281x: r: "00"
3868 37738-37778 rgb_led_ws281x: b: "00"
3869 37658-37778 rgb_led_ws281x: rgb: "#000000"
3870 37778-37783 rgb_led_ws281x: bit: "0"
3871 37783-37788 rgb_led_ws281x: bit: "0"
3872 37788-37793 rgb_led_ws281x: bit: "0"
3873 37793-37798 rgb_led_ws281x: bit: "0"
3874 37798-37803 rgb_led_ws281x: bit: "0"
3875 37803-37808 rgb_led_ws281x: bit: "0"
3876 37808-37813 rgb_led_ws281x: bit: "0"
3877 37813-37818 rgb_led_ws281x: bit: "0"
3878 37818-37823 rgb_led_ws281x: bit: "0"
3879 37823-37828 rgb_led_ws281x: bit: "0"
3880 37828-37833 rgb_led_ws281x: bit: "0"
3881 37833-37838 rgb_led_ws281x: bit: "0"
3882 37838-37843 rgb_led_ws281x: bit: "0"
3883 37843-37848 rgb_led_ws281x: bit: "0"
3884 37848-37853 rgb_led_ws281x: bit: "0"
3885 37853-37858 rgb_led_ws281x: bit: "0"
3886 37858-37863 rgb_led_ws281x: bit: "0"
3887 37863-37868 rgb_led_ws281x: bit: "0"
3888 37868-37873 rgb_led_ws281x: bit: "0"
3889 37873-37878 rgb_led_ws281x: bit: "0"
3890 37878-37883 rgb_led_ws281x: bit: "0"
3891 37883-37888 rgb_led_ws281x: bit: "0"
3892 37888-37893 rgb_led_ws281x: bit: "0"
3893 37893-37898 rgb_led_ws281x: bit: "0"
3894 37778-37818 rgb_led_ws281x: g: "00"
3895 37818-37858 rgb_led_ws281x: r: "00"
3896 37858-37898 rgb_led_ws281x: b: "00"
3897 37778-37898 rgb_led_ws281x: rgb: "#000000"
3898 37898-37903 rgb_led_ws281x: bit: "0"
3899 37903-37908 rgb_led_ws281x: bit: "0"
3900 37908-37913 rgb_led_ws281x: bit: "0"
3901 37913-37918 rgb_led_ws281x: bit: "0"
3902 37918-37923 rgb_led_ws281x: bit: "0"
3903 37923-37928 rgb_led_ws281x: bit: "0"
3904 37928-37933 rgb_led_ws281x: bit: "0"
3905 37933-37938 rgb_led_ws281x: bit: "0"
3906 37938-37943 rgb_led_ws281x: bit: "0"
3907 37943-37948 rgb_led_ws281x: bit: "0"
3908 37948-37953 rgb_led_ws281x: bit: "0"
3909 37953-37958 rgb_led_ws281x: bit: "0"
3910 37958-37963 rgb_led_ws281x: bit: "0"
3911 37963-37968 rgb_led_ws281x: bit: "0"
3912 37968-37973 rgb_led_ws281x: bit: "0"
3913 37973-37978 rgb_led_ws281x: bit: "0"
3914 37978-37983 rgb_led_ws281x: bit: "0"
3915 37983-37988 rgb_led_ws281x: bit: "0"
3916 37988-37993 rgb_led_ws281x: bit: "0"
3917 37993-37998 rgb_led_ws281x: bit: "0"
3918 37998-38003 rgb_led_ws281x: bit: "0"
3919 38003-38008 rgb_led_ws281x: bit: "0"
3920 38008-38013 rgb_led_ws281x: bit: "0"
3921 38013-38018 rgb_led_ws281x: bit: "0"
3922 37898-37938 rgb_led_ws281x: g: "00"
3923 37938-37978 rgb_led_ws281x: r: "00"
3924 37978-38018 rgb_led_ws281x: b: "00"
3925 37898-38018 rgb_led_ws281x: rgb: "#000000"
3926 38018-38023 rgb_led_ws281x: bit: "0"
3927 38023-38028 rgb_led_ws281x: bit: "0"
3928 38028-38033 rgb_led_ws281x: bit: "0"
3929 38033-38038 rgb_led_ws281x: bit: "0"
3930 38038-38043 rgb_led_ws281x: bit: "0"
3931 38043-38048 rgb_led_ws281x: bit: "0"
3932 38048-38053 rgb_led_ws281x: bit: "0"
3933 38053-38058 rgb_led_ws281x: bit: "0"
3934 38058-38063 rgb_led_ws281x: bit: "0"
3935 38063-38068 rgb_led_ws281x: bit: "0"
3936 38068-38073 rgb_led_ws281x: bit: "0"
3937 38073-38078 rgb_led_ws281x: bit: "0"
3938 38078-38083 rgb_led_ws281x: bit: "0"
3939 38083-38088 rgb_led_ws281x: bit: "0"
3940 38088-38093 rgb_led_ws281x: bit: "0"
3941 38093-38098 rgb_led_ws281x: bit: "0"
3942 38098-38103 rgb_led_ws281x: bit: "0"
3943 38103-38108 rgb_led_ws281x: bit: "0"
3944 38108-38113 rgb_led_ws281x: bit: "0"
3945 38113-38118 rgb_led_ws281x: bit: "0"
3946 38118-38123 rgb_led_ws281x: bit: "0"
3947 38123-38128 rgb_led_ws281x: bit: "0"
3948 38128-38133 rgb_led_ws281x: bit: "0"
3949 38133-38138 rgb_led_ws281x: bit: "0"
3950 38018-38058 rgb_led_ws281x: g: "00"
3951 38058-38098 rgb_led_ws281x: r: "00"
3952 38098-38138 rgb_led_ws281x: b: "00"
3953 38018-38138 rgb_led_ws281x: rgb: "#000000"
3954 38138-38143 rgb_led_ws281x: bit: "0"
3955 38143-38148 rgb_led_ws281x: bit: "0"
3956 38148-38153 rgb_led_ws281x: bit: "0"
3957 38153-38158 rgb_led_ws281x: bit: "0"
3958 38158-38163 rgb_led_ws281x: bit: "0"
3959 38163-38168 rgb_led_ws281x: bit: "0"
3960 38168-38173 rgb_led_ws281x: bit: "0"
3961 38173-38178 rgb_led_ws281x: bit: "0"
3962 38178-38183 rgb_led_ws281x: bit: "0"
3963 38183-38188 rgb_led_ws281x: bit: "0"
3964 38188-38193 rgb_led_ws281x: bit: "0"
3965 38193-38198 rgb_led_ws281x: bit: "0"
3966 38198-38203 rgb_led_ws281x: bit: "0"
3967 38203-38208 rgb_led_ws281x: bit: "0"
3968 38208-38213 rgb_led_ws281x: bit: "0"
3969 38213-38218 rgb_led_ws281x: bit: "0"
3970 38218-38223 rgb_led_ws281x: bit: "0"
3971 38223-38228 rgb_led_ws281x: bit: "0"
3972 38228-38233 rgb_led_ws281x: bit: "0"
3973 38233-38238 rgb_led_ws281x: bit: "0"
3974 38238-38243 rgb_led_ws281x: bit: "0"
3975 38243-38248 rgb_led_ws281x: bit: "0"
3976 38248-38253 rgb_led_ws281x: bit: "0"
3977 38253-38258 rgb_led_ws281x: bit: "0"
3978 38138-38178 rgb_led_ws281x: g: "00"
3979 38178-38218 rgb_led_ws281x: r: "00"
3980 38218-38258 rgb_led_ws281x: b: "00"
3981 38138-38258 rgb_led_ws281x: rgb: "#000000"
3982 38258-38263 rgb_led_ws281x: bit: "0"
3983 38263-38268 rgb_led_ws281x: bit: "0"
3984 38268-38273 rgb_led_ws281x: bit: "0"
3985 38273-38278 rgb_led_ws281x: bit: "0"
3986 38278-38283 rgb_led_ws281x: bit: "0"
3987 38283-38288 rgb_led_ws281x: bit: "0"
3988 38288-38293 rgb_led_ws281x: bit: "0"
3989 38293-38298 rgb_led_ws281x: bit: "0"
3990 38298-38303 rgb_led_ws281x: bit: "0"
3991 38303-38308 rgb_led_ws281x: bit: "0"
3992 38308-38313 rgb_led_ws281x: bit: "0"
3993 38313-38318 rgb_led_ws281x: bit: "0"
3994 38318-38323 rgb_led_ws281x: bit: "0"
3995 38323-38328 rgb_led_ws281x: bit: "0"
3996 38328-38333 rgb_led_ws281x: bit: "0"
3997 38333-38338 rgb_led_ws281x: bit: "0"
3998 38338-38343 rgb_led_ws281x: bit: "0"
3999 38343-38348 rgb_led_ws281x: bit: "0"
4000 38348-38353 rgb_led_ws281x: bit: "0"
4001 38353-38358 rgb_led_ws281x: bit: "0"
4002 38358-38363 rgb_led_ws281x: bit: "0"
4003 38363-38368 rgb_led_ws281x: bit: "0"
4004 38368-38373 rgb_led_ws281x: bit: "0"
4005 38373-38378 rgb_led_ws281x: bit: "0"
4006 38258-38298 rgb_led_ws281x: g: "00"
4007 38298-38338 rgb_led_ws281x: r: "00"
4008 38338-38378 rgb_led_ws281x: b: "00"
4009 38258-38378 rgb_led_ws281x: rgb: "#000000"
4010 38378-38383 rgb_led_ws281x: bit: "0"
4011 38383-38388 rgb_led_ws281x: bit: "0"
4012 38388-38393 rgb_led_ws281x: bit: "0"
4013 38393-38398 rgb_led_ws281x: bit: "0"
4014 38398-38403 rgb_led_ws281x: bit: "0"
4015 38403-38408 rgb_led_ws281x: bit: "0"
4016 38408-38413 rgb_led_ws281x: bit: "0"
4017 38413-38418 rgb_led_ws281x: bit: "0"
4018 38418-38423 rgb_led_ws281x: bit: "0"
4019 38423-38428 rgb_led_ws281x: bit: "0"
4020 38428-38433 rgb_led_ws281x: bit: "0"
4021 38433-38438 rgb_led_ws281x: bit: "0"
4022 38438-38443 rgb_led_ws281x: bit: "0"
4023 38443-38448 rgb_led_ws281x: bit: "0"
4024 38448-38453 rgb_led_ws281x: bit: "0"
4025 38453-38458 rgb_led_ws281x: bit: "0"
4026 38458-38463 rgb_led_ws281x: bit: "0"
4027 38463-38468 rgb_led_ws281x: bit: "0"
4028 38468-38473 rgb_led_ws281x: bit: "0"
4029 38473-38478 rgb_led_ws281x: bit: "0"
4030 38478-38483 rgb_led_ws281x: bit: "0"
4031 38483-38488 rgb_led_ws281x: bit: "0"
4032 38488-38493 rgb_led_ws281x: bit: "0"
4033 38378-38418 rgb_led_ws281x: g: "00"
4034 38418-38458 rgb_led_ws281x: r: "00"
4035 38458-38494 rgb_led_ws281x: b: "00"
4036 38378-38494 rgb_led_ws281x: rgb: "#000000"
4037 38493-38494 rgb_led_ws281x: bit: "0"
4038 38494-38695 rgb_led_ws281x: reset: "RESET" "RST" "R"