]> sigrok.org Git - libsigrokdecode.git/blame - decoders/uart/pd.py
uart: Use human-readable names for binary classes.
[libsigrokdecode.git] / decoders / uart / pd.py
CommitLineData
f44d2db2 1##
50bd5d25 2## This file is part of the libsigrokdecode project.
f44d2db2 3##
0bb7bcf3 4## Copyright (C) 2011-2014 Uwe Hermann <uwe@hermann-uwe.de>
f44d2db2
UH
5##
6## This program is free software; you can redistribute it and/or modify
7## it under the terms of the GNU General Public License as published by
8## the Free Software Foundation; either version 2 of the License, or
9## (at your option) any later version.
10##
11## This program is distributed in the hope that it will be useful,
12## but WITHOUT ANY WARRANTY; without even the implied warranty of
13## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
14## GNU General Public License for more details.
15##
16## You should have received a copy of the GNU General Public License
4539e9ca 17## along with this program; if not, see <http://www.gnu.org/licenses/>.
f44d2db2
UH
18##
19
677d597b 20import sigrokdecode as srd
5166b031 21from common.srdhelper import bitpack
b5712ccb 22from math import floor, ceil
f44d2db2 23
4cace3b8 24'''
c515eed7 25OUTPUT_PYTHON format:
4cace3b8 26
bf69977d
UH
27Packet:
28[<ptype>, <rxtx>, <pdata>]
4cace3b8 29
bf69977d 30This is the list of <ptype>s and their respective <pdata> values:
4cace3b8 31 - 'STARTBIT': The data is the (integer) value of the start bit (0/1).
0c7d5a56
UH
32 - 'DATA': This is always a tuple containing two items:
33 - 1st item: the (integer) value of the UART data. Valid values
6ffd71c1 34 range from 0 to 511 (as the data can be up to 9 bits in size).
0c7d5a56 35 - 2nd item: the list of individual data bits and their ss/es numbers.
4cace3b8
UH
36 - 'PARITYBIT': The data is the (integer) value of the parity bit (0/1).
37 - 'STOPBIT': The data is the (integer) value of the stop bit (0 or 1).
38 - 'INVALID STARTBIT': The data is the (integer) value of the start bit (0/1).
39 - 'INVALID STOPBIT': The data is the (integer) value of the stop bit (0/1).
40 - 'PARITY ERROR': The data is a tuple with two entries. The first one is
41 the expected parity value, the second is the actual parity value.
b025eab7 42 - 'BREAK': The data is always 0.
96170710
GS
43 - 'FRAME': The data is always a tuple containing two items: The (integer)
44 value of the UART data, and a boolean which reflects the validity of the
45 UART frame.
77c986b3 46 - 'IDLE': The data is always 0.
4cace3b8
UH
47
48The <rxtx> field is 0 for RX packets, 1 for TX packets.
49'''
50
97cca21f
UH
51# Used for differentiating between the two data directions.
52RX = 0
53TX = 1
54
f44d2db2
UH
55# Given a parity type to check (odd, even, zero, one), the value of the
56# parity bit, the value of the data, and the length of the data (5-9 bits,
57# usually 8 bits) return True if the parity is correct, False otherwise.
a7fc4c34 58# 'none' is _not_ allowed as value for 'parity_type'.
fb7a2f68 59def parity_ok(parity_type, parity_bit, data, data_bits):
f44d2db2 60
5ef0a979
GS
61 if parity_type == 'ignore':
62 return True
63
f44d2db2 64 # Handle easy cases first (parity bit is always 1 or 0).
a7fc4c34 65 if parity_type == 'zero':
f44d2db2 66 return parity_bit == 0
a7fc4c34 67 elif parity_type == 'one':
f44d2db2
UH
68 return parity_bit == 1
69
70 # Count number of 1 (high) bits in the data (and the parity bit itself!).
ac941bf9 71 ones = bin(data).count('1') + parity_bit
f44d2db2
UH
72
73 # Check for odd/even parity.
a7fc4c34 74 if parity_type == 'odd':
ac941bf9 75 return (ones % 2) == 1
a7fc4c34 76 elif parity_type == 'even':
ac941bf9 77 return (ones % 2) == 0
f44d2db2 78
21cda951
UH
79class SamplerateError(Exception):
80 pass
81
f04964c6
UH
82class ChannelError(Exception):
83 pass
84
c69e72bc
UH
85class Ann:
86 RX_DATA, TX_DATA, RX_START, TX_START, RX_PARITY_OK, TX_PARITY_OK, \
87 RX_PARITY_ERR, TX_PARITY_ERR, RX_STOP, TX_STOP, RX_WARN, TX_WARN, \
88 RX_DATA_BIT, TX_DATA_BIT, RX_BREAK, TX_BREAK, RX_PACKET, TX_PACKET = \
89 range(18)
90
f34113a3
UH
91class Bin:
92 RX, TX, RXTX = range(3)
93
677d597b 94class Decoder(srd.Decoder):
dcd3d626 95 api_version = 3
f44d2db2
UH
96 id = 'uart'
97 name = 'UART'
3d3da57d 98 longname = 'Universal Asynchronous Receiver/Transmitter'
a465436e 99 desc = 'Asynchronous, serial bus.'
f44d2db2
UH
100 license = 'gplv2+'
101 inputs = ['logic']
102 outputs = ['uart']
d6d8a8a4 103 tags = ['Embedded/industrial']
6a15597a 104 optional_channels = (
f44d2db2
UH
105 # Allow specifying only one of the signals, e.g. if only one data
106 # direction exists (or is relevant).
29ed0f4c
UH
107 {'id': 'rx', 'name': 'RX', 'desc': 'UART receive line'},
108 {'id': 'tx', 'name': 'TX', 'desc': 'UART transmit line'},
da9bcbd9 109 )
84c1c0b5
BV
110 options = (
111 {'id': 'baudrate', 'desc': 'Baud rate', 'default': 115200},
fb7a2f68 112 {'id': 'data_bits', 'desc': 'Data bits', 'default': 8,
84c1c0b5 113 'values': (5, 6, 7, 8, 9)},
fb7a2f68 114 {'id': 'parity', 'desc': 'Parity', 'default': 'none',
5ef0a979 115 'values': ('none', 'odd', 'even', 'zero', 'one', 'ignore')},
fb7a2f68 116 {'id': 'stop_bits', 'desc': 'Stop bits', 'default': 1.0,
84c1c0b5
BV
117 'values': (0.0, 0.5, 1.0, 1.5)},
118 {'id': 'bit_order', 'desc': 'Bit order', 'default': 'lsb-first',
119 'values': ('lsb-first', 'msb-first')},
ea36c198 120 {'id': 'format', 'desc': 'Data format', 'default': 'hex',
84c1c0b5 121 'values': ('ascii', 'dec', 'hex', 'oct', 'bin')},
1d764fd0 122 {'id': 'invert_rx', 'desc': 'Invert RX', 'default': 'no',
4eafeeef 123 'values': ('yes', 'no')},
1d764fd0 124 {'id': 'invert_tx', 'desc': 'Invert TX', 'default': 'no',
4eafeeef 125 'values': ('yes', 'no')},
bd50ceb3 126 {'id': 'sample_point', 'desc': 'Sample point (%)', 'default': 50},
fb7a2f68 127 {'id': 'rx_packet_delim', 'desc': 'RX packet delimiter (decimal)',
ab0522b8 128 'default': -1},
fb7a2f68 129 {'id': 'tx_packet_delim', 'desc': 'TX packet delimiter (decimal)',
ab0522b8 130 'default': -1},
0878d4ba
UH
131 {'id': 'rx_packet_len', 'desc': 'RX packet length', 'default': -1},
132 {'id': 'tx_packet_len', 'desc': 'TX packet length', 'default': -1},
84c1c0b5 133 )
da9bcbd9
BV
134 annotations = (
135 ('rx-data', 'RX data'),
136 ('tx-data', 'TX data'),
137 ('rx-start', 'RX start bits'),
138 ('tx-start', 'TX start bits'),
139 ('rx-parity-ok', 'RX parity OK bits'),
140 ('tx-parity-ok', 'TX parity OK bits'),
141 ('rx-parity-err', 'RX parity error bits'),
142 ('tx-parity-err', 'TX parity error bits'),
143 ('rx-stop', 'RX stop bits'),
144 ('tx-stop', 'TX stop bits'),
145 ('rx-warnings', 'RX warnings'),
146 ('tx-warnings', 'TX warnings'),
147 ('rx-data-bits', 'RX data bits'),
148 ('tx-data-bits', 'TX data bits'),
03a986ea
GS
149 ('rx-break', 'RX break'),
150 ('tx-break', 'TX break'),
ab0522b8
UH
151 ('rx-packet', 'RX packet'),
152 ('tx-packet', 'TX packet'),
da9bcbd9 153 )
2ce20a91 154 annotation_rows = (
c69e72bc
UH
155 ('rx-data-bits', 'RX bits', (Ann.RX_DATA_BIT,)),
156 ('rx-data', 'RX', (Ann.RX_DATA, Ann.RX_START, Ann.RX_PARITY_OK, Ann.RX_PARITY_ERR, Ann.RX_STOP)),
157 ('rx-warnings', 'RX warnings', (Ann.RX_WARN,)),
158 ('rx-break', 'RX break', (Ann.RX_BREAK,)),
159 ('rx-packets', 'RX packets', (Ann.RX_PACKET,)),
160 ('tx-data-bits', 'TX bits', (Ann.TX_DATA_BIT,)),
161 ('tx-data', 'TX', (Ann.TX_DATA, Ann.TX_START, Ann.TX_PARITY_OK, Ann.TX_PARITY_ERR, Ann.TX_STOP)),
162 ('tx-warnings', 'TX warnings', (Ann.TX_WARN,)),
163 ('tx-break', 'TX break', (Ann.TX_BREAK,)),
164 ('tx-packets', 'TX packets', (Ann.TX_PACKET,)),
2ce20a91 165 )
0bb7bcf3
UH
166 binary = (
167 ('rx', 'RX dump'),
168 ('tx', 'TX dump'),
169 ('rxtx', 'RX/TX dump'),
170 )
96a044da 171 idle_state = ['WAIT FOR START BIT', 'WAIT FOR START BIT']
f44d2db2 172
97cca21f 173 def putx(self, rxtx, data):
b5712ccb
PA
174 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
175 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_ann, data)
15ac6604 176
ab0522b8
UH
177 def putx_packet(self, rxtx, data):
178 s, halfbit = self.ss_packet[rxtx], self.bit_width / 2.0
179 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_ann, data)
180
4aedd5b8 181 def putpx(self, rxtx, data):
b5712ccb
PA
182 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
183 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_python, data)
4aedd5b8 184
15ac6604 185 def putg(self, data):
b5712ccb
PA
186 s, halfbit = self.samplenum, self.bit_width / 2.0
187 self.put(s - floor(halfbit), s + ceil(halfbit), self.out_ann, data)
15ac6604
UH
188
189 def putp(self, data):
b5712ccb
PA
190 s, halfbit = self.samplenum, self.bit_width / 2.0
191 self.put(s - floor(halfbit), s + ceil(halfbit), self.out_python, data)
97cca21f 192
03a986ea
GS
193 def putgse(self, ss, es, data):
194 self.put(ss, es, self.out_ann, data)
195
196 def putpse(self, ss, es, data):
197 self.put(ss, es, self.out_python, data)
198
0bb7bcf3 199 def putbin(self, rxtx, data):
b5712ccb 200 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
2f370328 201 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_binary, data)
0bb7bcf3 202
92b7b49f 203 def __init__(self):
10aeb8ea
GS
204 self.reset()
205
206 def reset(self):
f372d597 207 self.samplerate = None
97cca21f 208 self.frame_start = [-1, -1]
96170710 209 self.frame_valid = [None, None]
97cca21f
UH
210 self.startbit = [-1, -1]
211 self.cur_data_bit = [0, 0]
e9a3c933 212 self.datavalue = [0, 0]
1ccef461 213 self.paritybit = [-1, -1]
97cca21f
UH
214 self.stopbit1 = [-1, -1]
215 self.startsample = [-1, -1]
2b716038 216 self.state = ['WAIT FOR START BIT', 'WAIT FOR START BIT']
4aedd5b8 217 self.databits = [[], []]
03a986ea 218 self.break_start = [None, None]
ab0522b8
UH
219 self.packet_cache = [[], []]
220 self.ss_packet, self.es_packet = [None, None], [None, None]
d97440cc 221 self.idle_start = [None, None]
f44d2db2 222
f372d597 223 def start(self):
c515eed7 224 self.out_python = self.register(srd.OUTPUT_PYTHON)
2f370328 225 self.out_binary = self.register(srd.OUTPUT_BINARY)
be465111 226 self.out_ann = self.register(srd.OUTPUT_ANN)
fb7a2f68 227 self.bw = (self.options['data_bits'] + 7) // 8
f44d2db2 228
f372d597
BV
229 def metadata(self, key, value):
230 if key == srd.SRD_CONF_SAMPLERATE:
35b380b1 231 self.samplerate = value
f372d597
BV
232 # The width of one UART bit in number of samples.
233 self.bit_width = float(self.samplerate) / float(self.options['baudrate'])
f44d2db2 234
dcd3d626 235 def get_sample_point(self, rxtx, bitnum):
0b83932c 236 # Determine absolute sample number of a bit slot's sample point.
bd50ceb3
GS
237 # Counts for UART bits start from 0 (0 = start bit, 1..x = data,
238 # x+1 = parity bit (if used) or the first stop bit, and so on).
3d2d91e0 239 # Accept a position in the range of 1-99% of the full bit width.
bd50ceb3
GS
240 # Assume 50% for invalid input specs for backwards compatibility.
241 perc = self.options['sample_point'] or 50
242 if not perc or perc not in range(1, 100):
243 perc = 50
3d2d91e0
GS
244 perc /= 100.0
245 bitpos = (self.bit_width - 1) * perc
bd50ceb3 246 bitpos += self.frame_start[rxtx]
f44d2db2 247 bitpos += bitnum * self.bit_width
dcd3d626
GS
248 return bitpos
249
dcd3d626 250 def wait_for_start_bit(self, rxtx, signal):
f44d2db2 251 # Save the sample number where the start bit begins.
97cca21f 252 self.frame_start[rxtx] = self.samplenum
96170710 253 self.frame_valid[rxtx] = True
f44d2db2 254
2b716038 255 self.state[rxtx] = 'GET START BIT'
f44d2db2 256
97cca21f 257 def get_start_bit(self, rxtx, signal):
97cca21f 258 self.startbit[rxtx] = signal
f44d2db2 259
711d0602
GS
260 # The startbit must be 0. If not, we report an error and wait
261 # for the next start bit (assuming this one was spurious).
97cca21f 262 if self.startbit[rxtx] != 0:
15ac6604 263 self.putp(['INVALID STARTBIT', rxtx, self.startbit[rxtx]])
c69e72bc 264 self.putg([Ann.RX_WARN + rxtx, ['Frame error', 'Frame err', 'FE']])
96170710
GS
265 self.frame_valid[rxtx] = False
266 es = self.samplenum + ceil(self.bit_width / 2.0)
267 self.putpse(self.frame_start[rxtx], es, ['FRAME', rxtx,
268 (self.datavalue[rxtx], self.frame_valid[rxtx])])
711d0602
GS
269 self.state[rxtx] = 'WAIT FOR START BIT'
270 return
f44d2db2 271
97cca21f 272 self.cur_data_bit[rxtx] = 0
e9a3c933 273 self.datavalue[rxtx] = 0
97cca21f 274 self.startsample[rxtx] = -1
f44d2db2 275
15ac6604 276 self.putp(['STARTBIT', rxtx, self.startbit[rxtx]])
c69e72bc 277 self.putg([Ann.RX_START + rxtx, ['Start bit', 'Start', 'S']])
f44d2db2 278
4bb42a91
GS
279 self.state[rxtx] = 'GET DATA BITS'
280
ab0522b8 281 def handle_packet(self, rxtx):
0878d4ba 282 d = 'rx' if (rxtx == RX) else 'tx'
fb7a2f68 283 delim = self.options[d + '_packet_delim']
0878d4ba
UH
284 plen = self.options[d + '_packet_len']
285 if delim == -1 and plen == -1:
ab0522b8
UH
286 return
287
0878d4ba
UH
288 # Cache data values until we see the delimiter and/or the specified
289 # packet length has been reached (whichever happens first).
ab0522b8
UH
290 if len(self.packet_cache[rxtx]) == 0:
291 self.ss_packet[rxtx] = self.startsample[rxtx]
292 self.packet_cache[rxtx].append(self.datavalue[rxtx])
0878d4ba 293 if self.datavalue[rxtx] == delim or len(self.packet_cache[rxtx]) == plen:
ab0522b8
UH
294 self.es_packet[rxtx] = self.samplenum
295 s = ''
296 for b in self.packet_cache[rxtx]:
297 s += self.format_value(b)
298 if self.options['format'] != 'ascii':
299 s += ' '
300 if self.options['format'] != 'ascii' and s[-1] == ' ':
301 s = s[:-1] # Drop trailing space.
c69e72bc 302 self.putx_packet(rxtx, [Ann.RX_PACKET + rxtx, [s]])
ab0522b8
UH
303 self.packet_cache[rxtx] = []
304
97cca21f 305 def get_data_bits(self, rxtx, signal):
15ac6604 306 # Save the sample number of the middle of the first data bit.
97cca21f
UH
307 if self.startsample[rxtx] == -1:
308 self.startsample[rxtx] = self.samplenum
f44d2db2 309
c69e72bc 310 self.putg([Ann.RX_DATA_BIT + rxtx, ['%d' % signal]])
4aedd5b8
UH
311
312 # Store individual data bits and their start/end samplenumbers.
313 s, halfbit = self.samplenum, int(self.bit_width / 2)
314 self.databits[rxtx].append([signal, s - halfbit, s + halfbit])
315
f44d2db2 316 # Return here, unless we already received all data bits.
5e3c79fd 317 self.cur_data_bit[rxtx] += 1
fb7a2f68 318 if self.cur_data_bit[rxtx] < self.options['data_bits']:
1bb57ab8 319 return
f44d2db2 320
5166b031
GS
321 # Convert accumulated data bits to a data value.
322 bits = [b[0] for b in self.databits[rxtx]]
323 if self.options['bit_order'] == 'msb-first':
324 bits.reverse()
325 self.datavalue[rxtx] = bitpack(bits)
7cf698c5 326 self.putpx(rxtx, ['DATA', rxtx,
e9a3c933 327 (self.datavalue[rxtx], self.databits[rxtx])])
f44d2db2 328
6ffd71c1
GS
329 b = self.datavalue[rxtx]
330 formatted = self.format_value(b)
331 if formatted is not None:
332 self.putx(rxtx, [rxtx, [formatted]])
f44d2db2 333
98b89139 334 bdata = b.to_bytes(self.bw, byteorder='big')
f34113a3
UH
335 self.putbin(rxtx, [Bin.RX + rxtx, bdata])
336 self.putbin(rxtx, [Bin.RXTX, bdata])
0bb7bcf3 337
ab0522b8
UH
338 self.handle_packet(rxtx)
339
c1fc50b1 340 self.databits[rxtx] = []
4aedd5b8 341
4bb42a91
GS
342 # Advance to either reception of the parity bit, or reception of
343 # the STOP bits if parity is not applicable.
344 self.state[rxtx] = 'GET PARITY BIT'
fb7a2f68 345 if self.options['parity'] == 'none':
4bb42a91
GS
346 self.state[rxtx] = 'GET STOP BITS'
347
6ffd71c1
GS
348 def format_value(self, v):
349 # Format value 'v' according to configured options.
350 # Reflects the user selected kind of representation, as well as
351 # the number of data bits in the UART frames.
352
fb7a2f68 353 fmt, bits = self.options['format'], self.options['data_bits']
6ffd71c1
GS
354
355 # Assume "is printable" for values from 32 to including 126,
356 # below 32 is "control" and thus not printable, above 127 is
357 # "not ASCII" in its strict sense, 127 (DEL) is not printable,
358 # fall back to hex representation for non-printables.
359 if fmt == 'ascii':
360 if v in range(32, 126 + 1):
361 return chr(v)
362 hexfmt = "[{:02X}]" if bits <= 8 else "[{:03X}]"
363 return hexfmt.format(v)
364
365 # Mere number to text conversion without prefix and padding
366 # for the "decimal" output format.
367 if fmt == 'dec':
368 return "{:d}".format(v)
369
370 # Padding with leading zeroes for hex/oct/bin formats, but
371 # without a prefix for density -- since the format is user
372 # specified, there is no ambiguity.
373 if fmt == 'hex':
374 digits = (bits + 4 - 1) // 4
375 fmtchar = "X"
376 elif fmt == 'oct':
377 digits = (bits + 3 - 1) // 3
378 fmtchar = "o"
379 elif fmt == 'bin':
380 digits = bits
381 fmtchar = "b"
382 else:
383 fmtchar = None
384 if fmtchar is not None:
385 fmt = "{{:0{:d}{:s}}}".format(digits, fmtchar)
386 return fmt.format(v)
387
388 return None
389
97cca21f 390 def get_parity_bit(self, rxtx, signal):
97cca21f 391 self.paritybit[rxtx] = signal
f44d2db2 392
fb7a2f68
UH
393 if parity_ok(self.options['parity'], self.paritybit[rxtx],
394 self.datavalue[rxtx], self.options['data_bits']):
15ac6604 395 self.putp(['PARITYBIT', rxtx, self.paritybit[rxtx]])
c69e72bc 396 self.putg([Ann.RX_PARITY_OK + rxtx, ['Parity bit', 'Parity', 'P']])
f44d2db2 397 else:
61132abd 398 # TODO: Return expected/actual parity values.
15ac6604 399 self.putp(['PARITY ERROR', rxtx, (0, 1)]) # FIXME: Dummy tuple...
c69e72bc 400 self.putg([Ann.RX_PARITY_ERR + rxtx, ['Parity error', 'Parity err', 'PE']])
96170710 401 self.frame_valid[rxtx] = False
f44d2db2 402
4bb42a91
GS
403 self.state[rxtx] = 'GET STOP BITS'
404
f44d2db2 405 # TODO: Currently only supports 1 stop bit.
97cca21f 406 def get_stop_bits(self, rxtx, signal):
97cca21f 407 self.stopbit1[rxtx] = signal
f44d2db2 408
5cc4b6a0 409 # Stop bits must be 1. If not, we report an error.
97cca21f 410 if self.stopbit1[rxtx] != 1:
15ac6604 411 self.putp(['INVALID STOPBIT', rxtx, self.stopbit1[rxtx]])
c69e72bc 412 self.putg([Ann.RX_WARN + rxtx, ['Frame error', 'Frame err', 'FE']])
96170710 413 self.frame_valid[rxtx] = False
f44d2db2 414
15ac6604 415 self.putp(['STOPBIT', rxtx, self.stopbit1[rxtx]])
b2ddb8ee 416 self.putg([Ann.RX_STOP + rxtx, ['Stop bit', 'Stop', 'T']])
f44d2db2 417
96170710
GS
418 # Pass the complete UART frame to upper layers.
419 es = self.samplenum + ceil(self.bit_width / 2.0)
420 self.putpse(self.frame_start[rxtx], es, ['FRAME', rxtx,
421 (self.datavalue[rxtx], self.frame_valid[rxtx])])
422
4bb42a91 423 self.state[rxtx] = 'WAIT FOR START BIT'
d97440cc 424 self.idle_start[rxtx] = self.frame_start[rxtx] + self.frame_len_sample_count
4bb42a91 425
03a986ea
GS
426 def handle_break(self, rxtx):
427 self.putpse(self.frame_start[rxtx], self.samplenum,
428 ['BREAK', rxtx, 0])
429 self.putgse(self.frame_start[rxtx], self.samplenum,
c69e72bc 430 [Ann.RX_BREAK + rxtx, ['Break condition', 'Break', 'Brk', 'B']])
03a986ea
GS
431 self.state[rxtx] = 'WAIT FOR START BIT'
432
dcd3d626 433 def get_wait_cond(self, rxtx, inv):
0b83932c
UH
434 # Return condititions that are suitable for Decoder.wait(). Those
435 # conditions either match the falling edge of the START bit, or
436 # the sample point of the next bit time.
dcd3d626
GS
437 state = self.state[rxtx]
438 if state == 'WAIT FOR START BIT':
439 return {rxtx: 'r' if inv else 'f'}
440 if state == 'GET START BIT':
441 bitnum = 0
442 elif state == 'GET DATA BITS':
443 bitnum = 1 + self.cur_data_bit[rxtx]
444 elif state == 'GET PARITY BIT':
fb7a2f68 445 bitnum = 1 + self.options['data_bits']
dcd3d626 446 elif state == 'GET STOP BITS':
fb7a2f68
UH
447 bitnum = 1 + self.options['data_bits']
448 bitnum += 0 if self.options['parity'] == 'none' else 1
0b83932c
UH
449 want_num = ceil(self.get_sample_point(rxtx, bitnum))
450 return {'skip': want_num - self.samplenum}
dcd3d626 451
d97440cc
GS
452 def get_idle_cond(self, rxtx, inv):
453 # Return a condition that corresponds to the (expected) end of
454 # the next frame, assuming that it will be an "idle frame"
455 # (constant high input level for the frame's length).
456 if self.idle_start[rxtx] is None:
457 return None
458 end_of_frame = self.idle_start[rxtx] + self.frame_len_sample_count
459 if end_of_frame < self.samplenum:
460 return None
461 return {'skip': end_of_frame - self.samplenum}
462
0de2810f 463 def inspect_sample(self, rxtx, signal, inv):
0b83932c 464 # Inspect a sample returned by .wait() for the specified UART line.
0de2810f
GS
465 if inv:
466 signal = not signal
467
468 state = self.state[rxtx]
469 if state == 'WAIT FOR START BIT':
470 self.wait_for_start_bit(rxtx, signal)
471 elif state == 'GET START BIT':
472 self.get_start_bit(rxtx, signal)
473 elif state == 'GET DATA BITS':
474 self.get_data_bits(rxtx, signal)
475 elif state == 'GET PARITY BIT':
476 self.get_parity_bit(rxtx, signal)
477 elif state == 'GET STOP BITS':
478 self.get_stop_bits(rxtx, signal)
479
03a986ea
GS
480 def inspect_edge(self, rxtx, signal, inv):
481 # Inspect edges, independently from traffic, to detect break conditions.
482 if inv:
483 signal = not signal
484 if not signal:
485 # Signal went low. Start another interval.
486 self.break_start[rxtx] = self.samplenum
487 return
488 # Signal went high. Was there an extended period with low signal?
489 if self.break_start[rxtx] is None:
490 return
491 diff = self.samplenum - self.break_start[rxtx]
492 if diff >= self.break_min_sample_count:
493 self.handle_break(rxtx)
494 self.break_start[rxtx] = None
495
d97440cc
GS
496 def inspect_idle(self, rxtx, signal, inv):
497 # Check each edge and each period of stable input (either level).
498 # Can derive the "idle frame period has passed" condition.
499 if inv:
500 signal = not signal
501 if not signal:
502 # Low input, cease inspection.
503 self.idle_start[rxtx] = None
504 return
505 # High input, either just reached, or still stable.
506 if self.idle_start[rxtx] is None:
507 self.idle_start[rxtx] = self.samplenum
508 diff = self.samplenum - self.idle_start[rxtx]
509 if diff < self.frame_len_sample_count:
510 return
511 ss, es = self.idle_start[rxtx], self.samplenum
512 self.putpse(ss, es, ['IDLE', rxtx, 0])
513 self.idle_start[rxtx] = self.samplenum
514
dcd3d626 515 def decode(self):
21cda951
UH
516 if not self.samplerate:
517 raise SamplerateError('Cannot decode without samplerate.')
2fcd7c22 518
dcd3d626 519 has_pin = [self.has_channel(ch) for ch in (RX, TX)]
81bb8e84
GS
520 if not True in has_pin:
521 raise ChannelError('Need at least one of TX or RX pins.')
dcd3d626
GS
522
523 opt = self.options
524 inv = [opt['invert_rx'] == 'yes', opt['invert_tx'] == 'yes']
03a986ea
GS
525 cond_data_idx = [None] * len(has_pin)
526
527 # Determine the number of samples for a complete frame's time span.
528 # A period of low signal (at least) that long is a break condition.
529 frame_samples = 1 # START
fb7a2f68
UH
530 frame_samples += self.options['data_bits']
531 frame_samples += 0 if self.options['parity'] == 'none' else 1
532 frame_samples += self.options['stop_bits']
03a986ea 533 frame_samples *= self.bit_width
d97440cc
GS
534 self.frame_len_sample_count = ceil(frame_samples)
535 self.break_min_sample_count = self.frame_len_sample_count
03a986ea 536 cond_edge_idx = [None] * len(has_pin)
d97440cc 537 cond_idle_idx = [None] * len(has_pin)
dcd3d626
GS
538
539 while True:
540 conds = []
541 if has_pin[RX]:
03a986ea 542 cond_data_idx[RX] = len(conds)
dcd3d626 543 conds.append(self.get_wait_cond(RX, inv[RX]))
03a986ea
GS
544 cond_edge_idx[RX] = len(conds)
545 conds.append({RX: 'e'})
d97440cc
GS
546 cond_idle_idx[RX] = None
547 idle_cond = self.get_idle_cond(RX, inv[RX])
548 if idle_cond:
549 cond_idle_idx[RX] = len(conds)
550 conds.append(idle_cond)
dcd3d626 551 if has_pin[TX]:
03a986ea 552 cond_data_idx[TX] = len(conds)
dcd3d626 553 conds.append(self.get_wait_cond(TX, inv[TX]))
03a986ea
GS
554 cond_edge_idx[TX] = len(conds)
555 conds.append({TX: 'e'})
d97440cc
GS
556 cond_idle_idx[TX] = None
557 idle_cond = self.get_idle_cond(TX, inv[TX])
558 if idle_cond:
559 cond_idle_idx[TX] = len(conds)
560 conds.append(idle_cond)
dcd3d626 561 (rx, tx) = self.wait(conds)
03a986ea 562 if cond_data_idx[RX] is not None and self.matched[cond_data_idx[RX]]:
0de2810f 563 self.inspect_sample(RX, rx, inv[RX])
03a986ea
GS
564 if cond_edge_idx[RX] is not None and self.matched[cond_edge_idx[RX]]:
565 self.inspect_edge(RX, rx, inv[RX])
d97440cc
GS
566 self.inspect_idle(RX, rx, inv[RX])
567 if cond_idle_idx[RX] is not None and self.matched[cond_idle_idx[RX]]:
568 self.inspect_idle(RX, rx, inv[RX])
03a986ea 569 if cond_data_idx[TX] is not None and self.matched[cond_data_idx[TX]]:
0de2810f 570 self.inspect_sample(TX, tx, inv[TX])
03a986ea
GS
571 if cond_edge_idx[TX] is not None and self.matched[cond_edge_idx[TX]]:
572 self.inspect_edge(TX, tx, inv[TX])
d97440cc
GS
573 self.inspect_idle(TX, tx, inv[TX])
574 if cond_idle_idx[TX] is not None and self.matched[cond_idle_idx[TX]]:
575 self.inspect_idle(TX, tx, inv[TX])