]> sigrok.org Git - libsigrokdecode.git/blame - decoders/uart/pd.py
uart: sample position nits, fix typo, float calculation awareness
[libsigrokdecode.git] / decoders / uart / pd.py
CommitLineData
f44d2db2 1##
50bd5d25 2## This file is part of the libsigrokdecode project.
f44d2db2 3##
0bb7bcf3 4## Copyright (C) 2011-2014 Uwe Hermann <uwe@hermann-uwe.de>
f44d2db2
UH
5##
6## This program is free software; you can redistribute it and/or modify
7## it under the terms of the GNU General Public License as published by
8## the Free Software Foundation; either version 2 of the License, or
9## (at your option) any later version.
10##
11## This program is distributed in the hope that it will be useful,
12## but WITHOUT ANY WARRANTY; without even the implied warranty of
13## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
14## GNU General Public License for more details.
15##
16## You should have received a copy of the GNU General Public License
4539e9ca 17## along with this program; if not, see <http://www.gnu.org/licenses/>.
f44d2db2
UH
18##
19
677d597b 20import sigrokdecode as srd
5166b031 21from common.srdhelper import bitpack
b5712ccb 22from math import floor, ceil
f44d2db2 23
4cace3b8 24'''
c515eed7 25OUTPUT_PYTHON format:
4cace3b8 26
bf69977d
UH
27Packet:
28[<ptype>, <rxtx>, <pdata>]
4cace3b8 29
bf69977d 30This is the list of <ptype>s and their respective <pdata> values:
4cace3b8 31 - 'STARTBIT': The data is the (integer) value of the start bit (0/1).
0c7d5a56
UH
32 - 'DATA': This is always a tuple containing two items:
33 - 1st item: the (integer) value of the UART data. Valid values
6ffd71c1 34 range from 0 to 511 (as the data can be up to 9 bits in size).
0c7d5a56 35 - 2nd item: the list of individual data bits and their ss/es numbers.
4cace3b8
UH
36 - 'PARITYBIT': The data is the (integer) value of the parity bit (0/1).
37 - 'STOPBIT': The data is the (integer) value of the stop bit (0 or 1).
38 - 'INVALID STARTBIT': The data is the (integer) value of the start bit (0/1).
39 - 'INVALID STOPBIT': The data is the (integer) value of the stop bit (0/1).
40 - 'PARITY ERROR': The data is a tuple with two entries. The first one is
41 the expected parity value, the second is the actual parity value.
b025eab7 42 - 'BREAK': The data is always 0.
96170710
GS
43 - 'FRAME': The data is always a tuple containing two items: The (integer)
44 value of the UART data, and a boolean which reflects the validity of the
45 UART frame.
77c986b3 46 - 'IDLE': The data is always 0.
4cace3b8
UH
47
48The <rxtx> field is 0 for RX packets, 1 for TX packets.
49'''
50
97cca21f
UH
51# Used for differentiating between the two data directions.
52RX = 0
53TX = 1
54
f44d2db2
UH
55# Given a parity type to check (odd, even, zero, one), the value of the
56# parity bit, the value of the data, and the length of the data (5-9 bits,
57# usually 8 bits) return True if the parity is correct, False otherwise.
a7fc4c34 58# 'none' is _not_ allowed as value for 'parity_type'.
fb7a2f68 59def parity_ok(parity_type, parity_bit, data, data_bits):
f44d2db2 60
5ef0a979
GS
61 if parity_type == 'ignore':
62 return True
63
f44d2db2 64 # Handle easy cases first (parity bit is always 1 or 0).
a7fc4c34 65 if parity_type == 'zero':
f44d2db2 66 return parity_bit == 0
a7fc4c34 67 elif parity_type == 'one':
f44d2db2
UH
68 return parity_bit == 1
69
70 # Count number of 1 (high) bits in the data (and the parity bit itself!).
ac941bf9 71 ones = bin(data).count('1') + parity_bit
f44d2db2
UH
72
73 # Check for odd/even parity.
a7fc4c34 74 if parity_type == 'odd':
ac941bf9 75 return (ones % 2) == 1
a7fc4c34 76 elif parity_type == 'even':
ac941bf9 77 return (ones % 2) == 0
f44d2db2 78
21cda951
UH
79class SamplerateError(Exception):
80 pass
81
f04964c6
UH
82class ChannelError(Exception):
83 pass
84
677d597b 85class Decoder(srd.Decoder):
dcd3d626 86 api_version = 3
f44d2db2
UH
87 id = 'uart'
88 name = 'UART'
3d3da57d 89 longname = 'Universal Asynchronous Receiver/Transmitter'
a465436e 90 desc = 'Asynchronous, serial bus.'
f44d2db2
UH
91 license = 'gplv2+'
92 inputs = ['logic']
93 outputs = ['uart']
d6d8a8a4 94 tags = ['Embedded/industrial']
6a15597a 95 optional_channels = (
f44d2db2
UH
96 # Allow specifying only one of the signals, e.g. if only one data
97 # direction exists (or is relevant).
29ed0f4c
UH
98 {'id': 'rx', 'name': 'RX', 'desc': 'UART receive line'},
99 {'id': 'tx', 'name': 'TX', 'desc': 'UART transmit line'},
da9bcbd9 100 )
84c1c0b5
BV
101 options = (
102 {'id': 'baudrate', 'desc': 'Baud rate', 'default': 115200},
fb7a2f68 103 {'id': 'data_bits', 'desc': 'Data bits', 'default': 8,
84c1c0b5 104 'values': (5, 6, 7, 8, 9)},
fb7a2f68 105 {'id': 'parity', 'desc': 'Parity', 'default': 'none',
5ef0a979 106 'values': ('none', 'odd', 'even', 'zero', 'one', 'ignore')},
fb7a2f68 107 {'id': 'stop_bits', 'desc': 'Stop bits', 'default': 1.0,
84c1c0b5
BV
108 'values': (0.0, 0.5, 1.0, 1.5)},
109 {'id': 'bit_order', 'desc': 'Bit order', 'default': 'lsb-first',
110 'values': ('lsb-first', 'msb-first')},
ea36c198 111 {'id': 'format', 'desc': 'Data format', 'default': 'hex',
84c1c0b5 112 'values': ('ascii', 'dec', 'hex', 'oct', 'bin')},
1d764fd0 113 {'id': 'invert_rx', 'desc': 'Invert RX', 'default': 'no',
4eafeeef 114 'values': ('yes', 'no')},
1d764fd0 115 {'id': 'invert_tx', 'desc': 'Invert TX', 'default': 'no',
4eafeeef 116 'values': ('yes', 'no')},
bd50ceb3 117 {'id': 'sample_point', 'desc': 'Sample point (%)', 'default': 50},
fb7a2f68 118 {'id': 'rx_packet_delim', 'desc': 'RX packet delimiter (decimal)',
ab0522b8 119 'default': -1},
fb7a2f68 120 {'id': 'tx_packet_delim', 'desc': 'TX packet delimiter (decimal)',
ab0522b8 121 'default': -1},
0878d4ba
UH
122 {'id': 'rx_packet_len', 'desc': 'RX packet length', 'default': -1},
123 {'id': 'tx_packet_len', 'desc': 'TX packet length', 'default': -1},
84c1c0b5 124 )
da9bcbd9
BV
125 annotations = (
126 ('rx-data', 'RX data'),
127 ('tx-data', 'TX data'),
128 ('rx-start', 'RX start bits'),
129 ('tx-start', 'TX start bits'),
130 ('rx-parity-ok', 'RX parity OK bits'),
131 ('tx-parity-ok', 'TX parity OK bits'),
132 ('rx-parity-err', 'RX parity error bits'),
133 ('tx-parity-err', 'TX parity error bits'),
134 ('rx-stop', 'RX stop bits'),
135 ('tx-stop', 'TX stop bits'),
136 ('rx-warnings', 'RX warnings'),
137 ('tx-warnings', 'TX warnings'),
138 ('rx-data-bits', 'RX data bits'),
139 ('tx-data-bits', 'TX data bits'),
03a986ea
GS
140 ('rx-break', 'RX break'),
141 ('tx-break', 'TX break'),
ab0522b8
UH
142 ('rx-packet', 'RX packet'),
143 ('tx-packet', 'TX packet'),
da9bcbd9 144 )
2ce20a91 145 annotation_rows = (
4aedd5b8 146 ('rx-data-bits', 'RX bits', (12,)),
9d09d6ed 147 ('rx-data', 'RX', (0, 2, 4, 6, 8)),
4e3b276a 148 ('rx-warnings', 'RX warnings', (10,)),
03a986ea 149 ('rx-break', 'RX break', (14,)),
ab0522b8 150 ('rx-packets', 'RX packets', (16,)),
4aedd5b8 151 ('tx-data-bits', 'TX bits', (13,)),
9d09d6ed 152 ('tx-data', 'TX', (1, 3, 5, 7, 9)),
4e3b276a 153 ('tx-warnings', 'TX warnings', (11,)),
03a986ea 154 ('tx-break', 'TX break', (15,)),
ab0522b8 155 ('tx-packets', 'TX packets', (17,)),
2ce20a91 156 )
0bb7bcf3
UH
157 binary = (
158 ('rx', 'RX dump'),
159 ('tx', 'TX dump'),
160 ('rxtx', 'RX/TX dump'),
161 )
96a044da 162 idle_state = ['WAIT FOR START BIT', 'WAIT FOR START BIT']
f44d2db2 163
97cca21f 164 def putx(self, rxtx, data):
b5712ccb
PA
165 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
166 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_ann, data)
15ac6604 167
ab0522b8
UH
168 def putx_packet(self, rxtx, data):
169 s, halfbit = self.ss_packet[rxtx], self.bit_width / 2.0
170 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_ann, data)
171
4aedd5b8 172 def putpx(self, rxtx, data):
b5712ccb
PA
173 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
174 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_python, data)
4aedd5b8 175
15ac6604 176 def putg(self, data):
b5712ccb
PA
177 s, halfbit = self.samplenum, self.bit_width / 2.0
178 self.put(s - floor(halfbit), s + ceil(halfbit), self.out_ann, data)
15ac6604
UH
179
180 def putp(self, data):
b5712ccb
PA
181 s, halfbit = self.samplenum, self.bit_width / 2.0
182 self.put(s - floor(halfbit), s + ceil(halfbit), self.out_python, data)
97cca21f 183
03a986ea
GS
184 def putgse(self, ss, es, data):
185 self.put(ss, es, self.out_ann, data)
186
187 def putpse(self, ss, es, data):
188 self.put(ss, es, self.out_python, data)
189
0bb7bcf3 190 def putbin(self, rxtx, data):
b5712ccb 191 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
2f370328 192 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_binary, data)
0bb7bcf3 193
92b7b49f 194 def __init__(self):
10aeb8ea
GS
195 self.reset()
196
197 def reset(self):
f372d597 198 self.samplerate = None
97cca21f 199 self.frame_start = [-1, -1]
96170710 200 self.frame_valid = [None, None]
97cca21f
UH
201 self.startbit = [-1, -1]
202 self.cur_data_bit = [0, 0]
e9a3c933 203 self.datavalue = [0, 0]
1ccef461 204 self.paritybit = [-1, -1]
97cca21f
UH
205 self.stopbit1 = [-1, -1]
206 self.startsample = [-1, -1]
2b716038 207 self.state = ['WAIT FOR START BIT', 'WAIT FOR START BIT']
4aedd5b8 208 self.databits = [[], []]
03a986ea 209 self.break_start = [None, None]
ab0522b8
UH
210 self.packet_cache = [[], []]
211 self.ss_packet, self.es_packet = [None, None], [None, None]
d97440cc 212 self.idle_start = [None, None]
f44d2db2 213
f372d597 214 def start(self):
c515eed7 215 self.out_python = self.register(srd.OUTPUT_PYTHON)
2f370328 216 self.out_binary = self.register(srd.OUTPUT_BINARY)
be465111 217 self.out_ann = self.register(srd.OUTPUT_ANN)
fb7a2f68 218 self.bw = (self.options['data_bits'] + 7) // 8
f44d2db2 219
f372d597
BV
220 def metadata(self, key, value):
221 if key == srd.SRD_CONF_SAMPLERATE:
35b380b1 222 self.samplerate = value
f372d597
BV
223 # The width of one UART bit in number of samples.
224 self.bit_width = float(self.samplerate) / float(self.options['baudrate'])
f44d2db2 225
dcd3d626 226 def get_sample_point(self, rxtx, bitnum):
0b83932c 227 # Determine absolute sample number of a bit slot's sample point.
bd50ceb3
GS
228 # Counts for UART bits start from 0 (0 = start bit, 1..x = data,
229 # x+1 = parity bit (if used) or the first stop bit, and so on).
3d2d91e0 230 # Accept a position in the range of 1-99% of the full bit width.
bd50ceb3
GS
231 # Assume 50% for invalid input specs for backwards compatibility.
232 perc = self.options['sample_point'] or 50
233 if not perc or perc not in range(1, 100):
234 perc = 50
3d2d91e0
GS
235 perc /= 100.0
236 bitpos = (self.bit_width - 1) * perc
bd50ceb3 237 bitpos += self.frame_start[rxtx]
f44d2db2 238 bitpos += bitnum * self.bit_width
dcd3d626
GS
239 return bitpos
240
dcd3d626 241 def wait_for_start_bit(self, rxtx, signal):
f44d2db2 242 # Save the sample number where the start bit begins.
97cca21f 243 self.frame_start[rxtx] = self.samplenum
96170710 244 self.frame_valid[rxtx] = True
f44d2db2 245
2b716038 246 self.state[rxtx] = 'GET START BIT'
f44d2db2 247
97cca21f 248 def get_start_bit(self, rxtx, signal):
97cca21f 249 self.startbit[rxtx] = signal
f44d2db2 250
711d0602
GS
251 # The startbit must be 0. If not, we report an error and wait
252 # for the next start bit (assuming this one was spurious).
97cca21f 253 if self.startbit[rxtx] != 0:
15ac6604 254 self.putp(['INVALID STARTBIT', rxtx, self.startbit[rxtx]])
76a4498f 255 self.putg([rxtx + 10, ['Frame error', 'Frame err', 'FE']])
96170710
GS
256 self.frame_valid[rxtx] = False
257 es = self.samplenum + ceil(self.bit_width / 2.0)
258 self.putpse(self.frame_start[rxtx], es, ['FRAME', rxtx,
259 (self.datavalue[rxtx], self.frame_valid[rxtx])])
711d0602
GS
260 self.state[rxtx] = 'WAIT FOR START BIT'
261 return
f44d2db2 262
97cca21f 263 self.cur_data_bit[rxtx] = 0
e9a3c933 264 self.datavalue[rxtx] = 0
97cca21f 265 self.startsample[rxtx] = -1
f44d2db2 266
15ac6604 267 self.putp(['STARTBIT', rxtx, self.startbit[rxtx]])
2ce20a91 268 self.putg([rxtx + 2, ['Start bit', 'Start', 'S']])
f44d2db2 269
4bb42a91
GS
270 self.state[rxtx] = 'GET DATA BITS'
271
ab0522b8 272 def handle_packet(self, rxtx):
0878d4ba 273 d = 'rx' if (rxtx == RX) else 'tx'
fb7a2f68 274 delim = self.options[d + '_packet_delim']
0878d4ba
UH
275 plen = self.options[d + '_packet_len']
276 if delim == -1 and plen == -1:
ab0522b8
UH
277 return
278
0878d4ba
UH
279 # Cache data values until we see the delimiter and/or the specified
280 # packet length has been reached (whichever happens first).
ab0522b8
UH
281 if len(self.packet_cache[rxtx]) == 0:
282 self.ss_packet[rxtx] = self.startsample[rxtx]
283 self.packet_cache[rxtx].append(self.datavalue[rxtx])
0878d4ba 284 if self.datavalue[rxtx] == delim or len(self.packet_cache[rxtx]) == plen:
ab0522b8
UH
285 self.es_packet[rxtx] = self.samplenum
286 s = ''
287 for b in self.packet_cache[rxtx]:
288 s += self.format_value(b)
289 if self.options['format'] != 'ascii':
290 s += ' '
291 if self.options['format'] != 'ascii' and s[-1] == ' ':
292 s = s[:-1] # Drop trailing space.
293 self.putx_packet(rxtx, [16 + rxtx, [s]])
294 self.packet_cache[rxtx] = []
295
97cca21f 296 def get_data_bits(self, rxtx, signal):
15ac6604 297 # Save the sample number of the middle of the first data bit.
97cca21f
UH
298 if self.startsample[rxtx] == -1:
299 self.startsample[rxtx] = self.samplenum
f44d2db2 300
4aedd5b8
UH
301 self.putg([rxtx + 12, ['%d' % signal]])
302
303 # Store individual data bits and their start/end samplenumbers.
304 s, halfbit = self.samplenum, int(self.bit_width / 2)
305 self.databits[rxtx].append([signal, s - halfbit, s + halfbit])
306
f44d2db2 307 # Return here, unless we already received all data bits.
5e3c79fd 308 self.cur_data_bit[rxtx] += 1
fb7a2f68 309 if self.cur_data_bit[rxtx] < self.options['data_bits']:
1bb57ab8 310 return
f44d2db2 311
5166b031
GS
312 # Convert accumulated data bits to a data value.
313 bits = [b[0] for b in self.databits[rxtx]]
314 if self.options['bit_order'] == 'msb-first':
315 bits.reverse()
316 self.datavalue[rxtx] = bitpack(bits)
7cf698c5 317 self.putpx(rxtx, ['DATA', rxtx,
e9a3c933 318 (self.datavalue[rxtx], self.databits[rxtx])])
f44d2db2 319
6ffd71c1
GS
320 b = self.datavalue[rxtx]
321 formatted = self.format_value(b)
322 if formatted is not None:
323 self.putx(rxtx, [rxtx, [formatted]])
f44d2db2 324
98b89139
UH
325 bdata = b.to_bytes(self.bw, byteorder='big')
326 self.putbin(rxtx, [rxtx, bdata])
327 self.putbin(rxtx, [2, bdata])
0bb7bcf3 328
ab0522b8
UH
329 self.handle_packet(rxtx)
330
c1fc50b1 331 self.databits[rxtx] = []
4aedd5b8 332
4bb42a91
GS
333 # Advance to either reception of the parity bit, or reception of
334 # the STOP bits if parity is not applicable.
335 self.state[rxtx] = 'GET PARITY BIT'
fb7a2f68 336 if self.options['parity'] == 'none':
4bb42a91
GS
337 self.state[rxtx] = 'GET STOP BITS'
338
6ffd71c1
GS
339 def format_value(self, v):
340 # Format value 'v' according to configured options.
341 # Reflects the user selected kind of representation, as well as
342 # the number of data bits in the UART frames.
343
fb7a2f68 344 fmt, bits = self.options['format'], self.options['data_bits']
6ffd71c1
GS
345
346 # Assume "is printable" for values from 32 to including 126,
347 # below 32 is "control" and thus not printable, above 127 is
348 # "not ASCII" in its strict sense, 127 (DEL) is not printable,
349 # fall back to hex representation for non-printables.
350 if fmt == 'ascii':
351 if v in range(32, 126 + 1):
352 return chr(v)
353 hexfmt = "[{:02X}]" if bits <= 8 else "[{:03X}]"
354 return hexfmt.format(v)
355
356 # Mere number to text conversion without prefix and padding
357 # for the "decimal" output format.
358 if fmt == 'dec':
359 return "{:d}".format(v)
360
361 # Padding with leading zeroes for hex/oct/bin formats, but
362 # without a prefix for density -- since the format is user
363 # specified, there is no ambiguity.
364 if fmt == 'hex':
365 digits = (bits + 4 - 1) // 4
366 fmtchar = "X"
367 elif fmt == 'oct':
368 digits = (bits + 3 - 1) // 3
369 fmtchar = "o"
370 elif fmt == 'bin':
371 digits = bits
372 fmtchar = "b"
373 else:
374 fmtchar = None
375 if fmtchar is not None:
376 fmt = "{{:0{:d}{:s}}}".format(digits, fmtchar)
377 return fmt.format(v)
378
379 return None
380
97cca21f 381 def get_parity_bit(self, rxtx, signal):
97cca21f 382 self.paritybit[rxtx] = signal
f44d2db2 383
fb7a2f68
UH
384 if parity_ok(self.options['parity'], self.paritybit[rxtx],
385 self.datavalue[rxtx], self.options['data_bits']):
15ac6604 386 self.putp(['PARITYBIT', rxtx, self.paritybit[rxtx]])
2ce20a91 387 self.putg([rxtx + 4, ['Parity bit', 'Parity', 'P']])
f44d2db2 388 else:
61132abd 389 # TODO: Return expected/actual parity values.
15ac6604 390 self.putp(['PARITY ERROR', rxtx, (0, 1)]) # FIXME: Dummy tuple...
4e3b276a 391 self.putg([rxtx + 6, ['Parity error', 'Parity err', 'PE']])
96170710 392 self.frame_valid[rxtx] = False
f44d2db2 393
4bb42a91
GS
394 self.state[rxtx] = 'GET STOP BITS'
395
f44d2db2 396 # TODO: Currently only supports 1 stop bit.
97cca21f 397 def get_stop_bits(self, rxtx, signal):
97cca21f 398 self.stopbit1[rxtx] = signal
f44d2db2 399
5cc4b6a0 400 # Stop bits must be 1. If not, we report an error.
97cca21f 401 if self.stopbit1[rxtx] != 1:
15ac6604 402 self.putp(['INVALID STOPBIT', rxtx, self.stopbit1[rxtx]])
76a4498f 403 self.putg([rxtx + 10, ['Frame error', 'Frame err', 'FE']])
96170710 404 self.frame_valid[rxtx] = False
f44d2db2 405
15ac6604 406 self.putp(['STOPBIT', rxtx, self.stopbit1[rxtx]])
2ce20a91 407 self.putg([rxtx + 4, ['Stop bit', 'Stop', 'T']])
f44d2db2 408
96170710
GS
409 # Pass the complete UART frame to upper layers.
410 es = self.samplenum + ceil(self.bit_width / 2.0)
411 self.putpse(self.frame_start[rxtx], es, ['FRAME', rxtx,
412 (self.datavalue[rxtx], self.frame_valid[rxtx])])
413
4bb42a91 414 self.state[rxtx] = 'WAIT FOR START BIT'
d97440cc 415 self.idle_start[rxtx] = self.frame_start[rxtx] + self.frame_len_sample_count
4bb42a91 416
03a986ea
GS
417 def handle_break(self, rxtx):
418 self.putpse(self.frame_start[rxtx], self.samplenum,
419 ['BREAK', rxtx, 0])
420 self.putgse(self.frame_start[rxtx], self.samplenum,
421 [rxtx + 14, ['Break condition', 'Break', 'Brk', 'B']])
422 self.state[rxtx] = 'WAIT FOR START BIT'
423
dcd3d626 424 def get_wait_cond(self, rxtx, inv):
0b83932c
UH
425 # Return condititions that are suitable for Decoder.wait(). Those
426 # conditions either match the falling edge of the START bit, or
427 # the sample point of the next bit time.
dcd3d626
GS
428 state = self.state[rxtx]
429 if state == 'WAIT FOR START BIT':
430 return {rxtx: 'r' if inv else 'f'}
431 if state == 'GET START BIT':
432 bitnum = 0
433 elif state == 'GET DATA BITS':
434 bitnum = 1 + self.cur_data_bit[rxtx]
435 elif state == 'GET PARITY BIT':
fb7a2f68 436 bitnum = 1 + self.options['data_bits']
dcd3d626 437 elif state == 'GET STOP BITS':
fb7a2f68
UH
438 bitnum = 1 + self.options['data_bits']
439 bitnum += 0 if self.options['parity'] == 'none' else 1
0b83932c
UH
440 want_num = ceil(self.get_sample_point(rxtx, bitnum))
441 return {'skip': want_num - self.samplenum}
dcd3d626 442
d97440cc
GS
443 def get_idle_cond(self, rxtx, inv):
444 # Return a condition that corresponds to the (expected) end of
445 # the next frame, assuming that it will be an "idle frame"
446 # (constant high input level for the frame's length).
447 if self.idle_start[rxtx] is None:
448 return None
449 end_of_frame = self.idle_start[rxtx] + self.frame_len_sample_count
450 if end_of_frame < self.samplenum:
451 return None
452 return {'skip': end_of_frame - self.samplenum}
453
0de2810f 454 def inspect_sample(self, rxtx, signal, inv):
0b83932c 455 # Inspect a sample returned by .wait() for the specified UART line.
0de2810f
GS
456 if inv:
457 signal = not signal
458
459 state = self.state[rxtx]
460 if state == 'WAIT FOR START BIT':
461 self.wait_for_start_bit(rxtx, signal)
462 elif state == 'GET START BIT':
463 self.get_start_bit(rxtx, signal)
464 elif state == 'GET DATA BITS':
465 self.get_data_bits(rxtx, signal)
466 elif state == 'GET PARITY BIT':
467 self.get_parity_bit(rxtx, signal)
468 elif state == 'GET STOP BITS':
469 self.get_stop_bits(rxtx, signal)
470
03a986ea
GS
471 def inspect_edge(self, rxtx, signal, inv):
472 # Inspect edges, independently from traffic, to detect break conditions.
473 if inv:
474 signal = not signal
475 if not signal:
476 # Signal went low. Start another interval.
477 self.break_start[rxtx] = self.samplenum
478 return
479 # Signal went high. Was there an extended period with low signal?
480 if self.break_start[rxtx] is None:
481 return
482 diff = self.samplenum - self.break_start[rxtx]
483 if diff >= self.break_min_sample_count:
484 self.handle_break(rxtx)
485 self.break_start[rxtx] = None
486
d97440cc
GS
487 def inspect_idle(self, rxtx, signal, inv):
488 # Check each edge and each period of stable input (either level).
489 # Can derive the "idle frame period has passed" condition.
490 if inv:
491 signal = not signal
492 if not signal:
493 # Low input, cease inspection.
494 self.idle_start[rxtx] = None
495 return
496 # High input, either just reached, or still stable.
497 if self.idle_start[rxtx] is None:
498 self.idle_start[rxtx] = self.samplenum
499 diff = self.samplenum - self.idle_start[rxtx]
500 if diff < self.frame_len_sample_count:
501 return
502 ss, es = self.idle_start[rxtx], self.samplenum
503 self.putpse(ss, es, ['IDLE', rxtx, 0])
504 self.idle_start[rxtx] = self.samplenum
505
dcd3d626 506 def decode(self):
21cda951
UH
507 if not self.samplerate:
508 raise SamplerateError('Cannot decode without samplerate.')
2fcd7c22 509
dcd3d626 510 has_pin = [self.has_channel(ch) for ch in (RX, TX)]
81bb8e84
GS
511 if not True in has_pin:
512 raise ChannelError('Need at least one of TX or RX pins.')
dcd3d626
GS
513
514 opt = self.options
515 inv = [opt['invert_rx'] == 'yes', opt['invert_tx'] == 'yes']
03a986ea
GS
516 cond_data_idx = [None] * len(has_pin)
517
518 # Determine the number of samples for a complete frame's time span.
519 # A period of low signal (at least) that long is a break condition.
520 frame_samples = 1 # START
fb7a2f68
UH
521 frame_samples += self.options['data_bits']
522 frame_samples += 0 if self.options['parity'] == 'none' else 1
523 frame_samples += self.options['stop_bits']
03a986ea 524 frame_samples *= self.bit_width
d97440cc
GS
525 self.frame_len_sample_count = ceil(frame_samples)
526 self.break_min_sample_count = self.frame_len_sample_count
03a986ea 527 cond_edge_idx = [None] * len(has_pin)
d97440cc 528 cond_idle_idx = [None] * len(has_pin)
dcd3d626
GS
529
530 while True:
531 conds = []
532 if has_pin[RX]:
03a986ea 533 cond_data_idx[RX] = len(conds)
dcd3d626 534 conds.append(self.get_wait_cond(RX, inv[RX]))
03a986ea
GS
535 cond_edge_idx[RX] = len(conds)
536 conds.append({RX: 'e'})
d97440cc
GS
537 cond_idle_idx[RX] = None
538 idle_cond = self.get_idle_cond(RX, inv[RX])
539 if idle_cond:
540 cond_idle_idx[RX] = len(conds)
541 conds.append(idle_cond)
dcd3d626 542 if has_pin[TX]:
03a986ea 543 cond_data_idx[TX] = len(conds)
dcd3d626 544 conds.append(self.get_wait_cond(TX, inv[TX]))
03a986ea
GS
545 cond_edge_idx[TX] = len(conds)
546 conds.append({TX: 'e'})
d97440cc
GS
547 cond_idle_idx[TX] = None
548 idle_cond = self.get_idle_cond(TX, inv[TX])
549 if idle_cond:
550 cond_idle_idx[TX] = len(conds)
551 conds.append(idle_cond)
dcd3d626 552 (rx, tx) = self.wait(conds)
03a986ea 553 if cond_data_idx[RX] is not None and self.matched[cond_data_idx[RX]]:
0de2810f 554 self.inspect_sample(RX, rx, inv[RX])
03a986ea
GS
555 if cond_edge_idx[RX] is not None and self.matched[cond_edge_idx[RX]]:
556 self.inspect_edge(RX, rx, inv[RX])
d97440cc
GS
557 self.inspect_idle(RX, rx, inv[RX])
558 if cond_idle_idx[RX] is not None and self.matched[cond_idle_idx[RX]]:
559 self.inspect_idle(RX, rx, inv[RX])
03a986ea 560 if cond_data_idx[TX] is not None and self.matched[cond_data_idx[TX]]:
0de2810f 561 self.inspect_sample(TX, tx, inv[TX])
03a986ea
GS
562 if cond_edge_idx[TX] is not None and self.matched[cond_edge_idx[TX]]:
563 self.inspect_edge(TX, tx, inv[TX])
d97440cc
GS
564 self.inspect_idle(TX, tx, inv[TX])
565 if cond_idle_idx[TX] is not None and self.matched[cond_idle_idx[TX]]:
566 self.inspect_idle(TX, tx, inv[TX])