]> sigrok.org Git - libsigrokdecode.git/blame - decoders/uart/pd.py
uart: communicate periods of idle frames to stacked decoders
[libsigrokdecode.git] / decoders / uart / pd.py
CommitLineData
f44d2db2 1##
50bd5d25 2## This file is part of the libsigrokdecode project.
f44d2db2 3##
0bb7bcf3 4## Copyright (C) 2011-2014 Uwe Hermann <uwe@hermann-uwe.de>
f44d2db2
UH
5##
6## This program is free software; you can redistribute it and/or modify
7## it under the terms of the GNU General Public License as published by
8## the Free Software Foundation; either version 2 of the License, or
9## (at your option) any later version.
10##
11## This program is distributed in the hope that it will be useful,
12## but WITHOUT ANY WARRANTY; without even the implied warranty of
13## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
14## GNU General Public License for more details.
15##
16## You should have received a copy of the GNU General Public License
4539e9ca 17## along with this program; if not, see <http://www.gnu.org/licenses/>.
f44d2db2
UH
18##
19
677d597b 20import sigrokdecode as srd
5166b031 21from common.srdhelper import bitpack
b5712ccb 22from math import floor, ceil
f44d2db2 23
4cace3b8 24'''
c515eed7 25OUTPUT_PYTHON format:
4cace3b8 26
bf69977d
UH
27Packet:
28[<ptype>, <rxtx>, <pdata>]
4cace3b8 29
bf69977d 30This is the list of <ptype>s and their respective <pdata> values:
4cace3b8 31 - 'STARTBIT': The data is the (integer) value of the start bit (0/1).
0c7d5a56
UH
32 - 'DATA': This is always a tuple containing two items:
33 - 1st item: the (integer) value of the UART data. Valid values
6ffd71c1 34 range from 0 to 511 (as the data can be up to 9 bits in size).
0c7d5a56 35 - 2nd item: the list of individual data bits and their ss/es numbers.
4cace3b8
UH
36 - 'PARITYBIT': The data is the (integer) value of the parity bit (0/1).
37 - 'STOPBIT': The data is the (integer) value of the stop bit (0 or 1).
38 - 'INVALID STARTBIT': The data is the (integer) value of the start bit (0/1).
39 - 'INVALID STOPBIT': The data is the (integer) value of the stop bit (0/1).
40 - 'PARITY ERROR': The data is a tuple with two entries. The first one is
41 the expected parity value, the second is the actual parity value.
b025eab7 42 - 'BREAK': The data is always 0.
96170710
GS
43 - 'FRAME': The data is always a tuple containing two items: The (integer)
44 value of the UART data, and a boolean which reflects the validity of the
45 UART frame.
4cace3b8
UH
46
47The <rxtx> field is 0 for RX packets, 1 for TX packets.
48'''
49
97cca21f
UH
50# Used for differentiating between the two data directions.
51RX = 0
52TX = 1
53
f44d2db2
UH
54# Given a parity type to check (odd, even, zero, one), the value of the
55# parity bit, the value of the data, and the length of the data (5-9 bits,
56# usually 8 bits) return True if the parity is correct, False otherwise.
a7fc4c34 57# 'none' is _not_ allowed as value for 'parity_type'.
f44d2db2
UH
58def parity_ok(parity_type, parity_bit, data, num_data_bits):
59
60 # Handle easy cases first (parity bit is always 1 or 0).
a7fc4c34 61 if parity_type == 'zero':
f44d2db2 62 return parity_bit == 0
a7fc4c34 63 elif parity_type == 'one':
f44d2db2
UH
64 return parity_bit == 1
65
66 # Count number of 1 (high) bits in the data (and the parity bit itself!).
ac941bf9 67 ones = bin(data).count('1') + parity_bit
f44d2db2
UH
68
69 # Check for odd/even parity.
a7fc4c34 70 if parity_type == 'odd':
ac941bf9 71 return (ones % 2) == 1
a7fc4c34 72 elif parity_type == 'even':
ac941bf9 73 return (ones % 2) == 0
f44d2db2 74
21cda951
UH
75class SamplerateError(Exception):
76 pass
77
f04964c6
UH
78class ChannelError(Exception):
79 pass
80
677d597b 81class Decoder(srd.Decoder):
dcd3d626 82 api_version = 3
f44d2db2
UH
83 id = 'uart'
84 name = 'UART'
3d3da57d 85 longname = 'Universal Asynchronous Receiver/Transmitter'
a465436e 86 desc = 'Asynchronous, serial bus.'
f44d2db2
UH
87 license = 'gplv2+'
88 inputs = ['logic']
89 outputs = ['uart']
d6d8a8a4 90 tags = ['Embedded/industrial']
6a15597a 91 optional_channels = (
f44d2db2
UH
92 # Allow specifying only one of the signals, e.g. if only one data
93 # direction exists (or is relevant).
29ed0f4c
UH
94 {'id': 'rx', 'name': 'RX', 'desc': 'UART receive line'},
95 {'id': 'tx', 'name': 'TX', 'desc': 'UART transmit line'},
da9bcbd9 96 )
84c1c0b5
BV
97 options = (
98 {'id': 'baudrate', 'desc': 'Baud rate', 'default': 115200},
99 {'id': 'num_data_bits', 'desc': 'Data bits', 'default': 8,
100 'values': (5, 6, 7, 8, 9)},
101 {'id': 'parity_type', 'desc': 'Parity type', 'default': 'none',
102 'values': ('none', 'odd', 'even', 'zero', 'one')},
103 {'id': 'parity_check', 'desc': 'Check parity?', 'default': 'yes',
104 'values': ('yes', 'no')},
105 {'id': 'num_stop_bits', 'desc': 'Stop bits', 'default': 1.0,
106 'values': (0.0, 0.5, 1.0, 1.5)},
107 {'id': 'bit_order', 'desc': 'Bit order', 'default': 'lsb-first',
108 'values': ('lsb-first', 'msb-first')},
ea36c198 109 {'id': 'format', 'desc': 'Data format', 'default': 'hex',
84c1c0b5 110 'values': ('ascii', 'dec', 'hex', 'oct', 'bin')},
4eafeeef
DB
111 {'id': 'invert_rx', 'desc': 'Invert RX?', 'default': 'no',
112 'values': ('yes', 'no')},
113 {'id': 'invert_tx', 'desc': 'Invert TX?', 'default': 'no',
114 'values': ('yes', 'no')},
ab0522b8
UH
115 {'id': 'rx_packet_delimiter', 'desc': 'RX packet delimiter (decimal)',
116 'default': -1},
117 {'id': 'tx_packet_delimiter', 'desc': 'TX packet delimiter (decimal)',
118 'default': -1},
0878d4ba
UH
119 {'id': 'rx_packet_len', 'desc': 'RX packet length', 'default': -1},
120 {'id': 'tx_packet_len', 'desc': 'TX packet length', 'default': -1},
84c1c0b5 121 )
da9bcbd9
BV
122 annotations = (
123 ('rx-data', 'RX data'),
124 ('tx-data', 'TX data'),
125 ('rx-start', 'RX start bits'),
126 ('tx-start', 'TX start bits'),
127 ('rx-parity-ok', 'RX parity OK bits'),
128 ('tx-parity-ok', 'TX parity OK bits'),
129 ('rx-parity-err', 'RX parity error bits'),
130 ('tx-parity-err', 'TX parity error bits'),
131 ('rx-stop', 'RX stop bits'),
132 ('tx-stop', 'TX stop bits'),
133 ('rx-warnings', 'RX warnings'),
134 ('tx-warnings', 'TX warnings'),
135 ('rx-data-bits', 'RX data bits'),
136 ('tx-data-bits', 'TX data bits'),
03a986ea
GS
137 ('rx-break', 'RX break'),
138 ('tx-break', 'TX break'),
ab0522b8
UH
139 ('rx-packet', 'RX packet'),
140 ('tx-packet', 'TX packet'),
da9bcbd9 141 )
2ce20a91 142 annotation_rows = (
4e3b276a 143 ('rx-data', 'RX', (0, 2, 4, 6, 8)),
4aedd5b8 144 ('rx-data-bits', 'RX bits', (12,)),
4e3b276a 145 ('rx-warnings', 'RX warnings', (10,)),
03a986ea 146 ('rx-break', 'RX break', (14,)),
ab0522b8 147 ('rx-packets', 'RX packets', (16,)),
4aedd5b8
UH
148 ('tx-data', 'TX', (1, 3, 5, 7, 9)),
149 ('tx-data-bits', 'TX bits', (13,)),
4e3b276a 150 ('tx-warnings', 'TX warnings', (11,)),
03a986ea 151 ('tx-break', 'TX break', (15,)),
ab0522b8 152 ('tx-packets', 'TX packets', (17,)),
2ce20a91 153 )
0bb7bcf3
UH
154 binary = (
155 ('rx', 'RX dump'),
156 ('tx', 'TX dump'),
157 ('rxtx', 'RX/TX dump'),
158 )
96a044da 159 idle_state = ['WAIT FOR START BIT', 'WAIT FOR START BIT']
f44d2db2 160
97cca21f 161 def putx(self, rxtx, data):
b5712ccb
PA
162 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
163 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_ann, data)
15ac6604 164
ab0522b8
UH
165 def putx_packet(self, rxtx, data):
166 s, halfbit = self.ss_packet[rxtx], self.bit_width / 2.0
167 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_ann, data)
168
4aedd5b8 169 def putpx(self, rxtx, data):
b5712ccb
PA
170 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
171 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_python, data)
4aedd5b8 172
15ac6604 173 def putg(self, data):
b5712ccb
PA
174 s, halfbit = self.samplenum, self.bit_width / 2.0
175 self.put(s - floor(halfbit), s + ceil(halfbit), self.out_ann, data)
15ac6604
UH
176
177 def putp(self, data):
b5712ccb
PA
178 s, halfbit = self.samplenum, self.bit_width / 2.0
179 self.put(s - floor(halfbit), s + ceil(halfbit), self.out_python, data)
97cca21f 180
03a986ea
GS
181 def putgse(self, ss, es, data):
182 self.put(ss, es, self.out_ann, data)
183
184 def putpse(self, ss, es, data):
185 self.put(ss, es, self.out_python, data)
186
0bb7bcf3 187 def putbin(self, rxtx, data):
b5712ccb 188 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
2f370328 189 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_binary, data)
0bb7bcf3 190
92b7b49f 191 def __init__(self):
10aeb8ea
GS
192 self.reset()
193
194 def reset(self):
f372d597 195 self.samplerate = None
f44d2db2 196 self.samplenum = 0
97cca21f 197 self.frame_start = [-1, -1]
96170710 198 self.frame_valid = [None, None]
97cca21f
UH
199 self.startbit = [-1, -1]
200 self.cur_data_bit = [0, 0]
e9a3c933 201 self.datavalue = [0, 0]
1ccef461 202 self.paritybit = [-1, -1]
97cca21f
UH
203 self.stopbit1 = [-1, -1]
204 self.startsample = [-1, -1]
2b716038 205 self.state = ['WAIT FOR START BIT', 'WAIT FOR START BIT']
4aedd5b8 206 self.databits = [[], []]
03a986ea 207 self.break_start = [None, None]
ab0522b8
UH
208 self.packet_cache = [[], []]
209 self.ss_packet, self.es_packet = [None, None], [None, None]
d97440cc 210 self.idle_start = [None, None]
f44d2db2 211
f372d597 212 def start(self):
c515eed7 213 self.out_python = self.register(srd.OUTPUT_PYTHON)
2f370328 214 self.out_binary = self.register(srd.OUTPUT_BINARY)
be465111 215 self.out_ann = self.register(srd.OUTPUT_ANN)
98b89139 216 self.bw = (self.options['num_data_bits'] + 7) // 8
f44d2db2 217
f372d597
BV
218 def metadata(self, key, value):
219 if key == srd.SRD_CONF_SAMPLERATE:
35b380b1 220 self.samplerate = value
f372d597
BV
221 # The width of one UART bit in number of samples.
222 self.bit_width = float(self.samplerate) / float(self.options['baudrate'])
f44d2db2 223
dcd3d626 224 def get_sample_point(self, rxtx, bitnum):
0b83932c 225 # Determine absolute sample number of a bit slot's sample point.
f44d2db2
UH
226 # bitpos is the samplenumber which is in the middle of the
227 # specified UART bit (0 = start bit, 1..x = data, x+1 = parity bit
228 # (if used) or the first stop bit, and so on).
b5712ccb
PA
229 # The samples within bit are 0, 1, ..., (bit_width - 1), therefore
230 # index of the middle sample within bit window is (bit_width - 1) / 2.
231 bitpos = self.frame_start[rxtx] + (self.bit_width - 1) / 2.0
f44d2db2 232 bitpos += bitnum * self.bit_width
dcd3d626
GS
233 return bitpos
234
dcd3d626 235 def wait_for_start_bit(self, rxtx, signal):
f44d2db2 236 # Save the sample number where the start bit begins.
97cca21f 237 self.frame_start[rxtx] = self.samplenum
96170710 238 self.frame_valid[rxtx] = True
f44d2db2 239
2b716038 240 self.state[rxtx] = 'GET START BIT'
f44d2db2 241
97cca21f 242 def get_start_bit(self, rxtx, signal):
97cca21f 243 self.startbit[rxtx] = signal
f44d2db2 244
711d0602
GS
245 # The startbit must be 0. If not, we report an error and wait
246 # for the next start bit (assuming this one was spurious).
97cca21f 247 if self.startbit[rxtx] != 0:
15ac6604 248 self.putp(['INVALID STARTBIT', rxtx, self.startbit[rxtx]])
76a4498f 249 self.putg([rxtx + 10, ['Frame error', 'Frame err', 'FE']])
96170710
GS
250 self.frame_valid[rxtx] = False
251 es = self.samplenum + ceil(self.bit_width / 2.0)
252 self.putpse(self.frame_start[rxtx], es, ['FRAME', rxtx,
253 (self.datavalue[rxtx], self.frame_valid[rxtx])])
711d0602
GS
254 self.state[rxtx] = 'WAIT FOR START BIT'
255 return
f44d2db2 256
97cca21f 257 self.cur_data_bit[rxtx] = 0
e9a3c933 258 self.datavalue[rxtx] = 0
97cca21f 259 self.startsample[rxtx] = -1
f44d2db2 260
15ac6604 261 self.putp(['STARTBIT', rxtx, self.startbit[rxtx]])
2ce20a91 262 self.putg([rxtx + 2, ['Start bit', 'Start', 'S']])
f44d2db2 263
4bb42a91
GS
264 self.state[rxtx] = 'GET DATA BITS'
265
ab0522b8 266 def handle_packet(self, rxtx):
0878d4ba
UH
267 d = 'rx' if (rxtx == RX) else 'tx'
268 delim = self.options[d + '_packet_delimiter']
269 plen = self.options[d + '_packet_len']
270 if delim == -1 and plen == -1:
ab0522b8
UH
271 return
272
0878d4ba
UH
273 # Cache data values until we see the delimiter and/or the specified
274 # packet length has been reached (whichever happens first).
ab0522b8
UH
275 if len(self.packet_cache[rxtx]) == 0:
276 self.ss_packet[rxtx] = self.startsample[rxtx]
277 self.packet_cache[rxtx].append(self.datavalue[rxtx])
0878d4ba 278 if self.datavalue[rxtx] == delim or len(self.packet_cache[rxtx]) == plen:
ab0522b8
UH
279 self.es_packet[rxtx] = self.samplenum
280 s = ''
281 for b in self.packet_cache[rxtx]:
282 s += self.format_value(b)
283 if self.options['format'] != 'ascii':
284 s += ' '
285 if self.options['format'] != 'ascii' and s[-1] == ' ':
286 s = s[:-1] # Drop trailing space.
287 self.putx_packet(rxtx, [16 + rxtx, [s]])
288 self.packet_cache[rxtx] = []
289
97cca21f 290 def get_data_bits(self, rxtx, signal):
15ac6604 291 # Save the sample number of the middle of the first data bit.
97cca21f
UH
292 if self.startsample[rxtx] == -1:
293 self.startsample[rxtx] = self.samplenum
f44d2db2 294
4aedd5b8
UH
295 self.putg([rxtx + 12, ['%d' % signal]])
296
297 # Store individual data bits and their start/end samplenumbers.
298 s, halfbit = self.samplenum, int(self.bit_width / 2)
299 self.databits[rxtx].append([signal, s - halfbit, s + halfbit])
300
f44d2db2 301 # Return here, unless we already received all data bits.
5e3c79fd
GS
302 self.cur_data_bit[rxtx] += 1
303 if self.cur_data_bit[rxtx] < self.options['num_data_bits']:
1bb57ab8 304 return
f44d2db2 305
5166b031
GS
306 # Convert accumulated data bits to a data value.
307 bits = [b[0] for b in self.databits[rxtx]]
308 if self.options['bit_order'] == 'msb-first':
309 bits.reverse()
310 self.datavalue[rxtx] = bitpack(bits)
7cf698c5 311 self.putpx(rxtx, ['DATA', rxtx,
e9a3c933 312 (self.datavalue[rxtx], self.databits[rxtx])])
f44d2db2 313
6ffd71c1
GS
314 b = self.datavalue[rxtx]
315 formatted = self.format_value(b)
316 if formatted is not None:
317 self.putx(rxtx, [rxtx, [formatted]])
f44d2db2 318
98b89139
UH
319 bdata = b.to_bytes(self.bw, byteorder='big')
320 self.putbin(rxtx, [rxtx, bdata])
321 self.putbin(rxtx, [2, bdata])
0bb7bcf3 322
ab0522b8
UH
323 self.handle_packet(rxtx)
324
c1fc50b1 325 self.databits[rxtx] = []
4aedd5b8 326
4bb42a91
GS
327 # Advance to either reception of the parity bit, or reception of
328 # the STOP bits if parity is not applicable.
329 self.state[rxtx] = 'GET PARITY BIT'
330 if self.options['parity_type'] == 'none':
331 self.state[rxtx] = 'GET STOP BITS'
332
6ffd71c1
GS
333 def format_value(self, v):
334 # Format value 'v' according to configured options.
335 # Reflects the user selected kind of representation, as well as
336 # the number of data bits in the UART frames.
337
338 fmt, bits = self.options['format'], self.options['num_data_bits']
339
340 # Assume "is printable" for values from 32 to including 126,
341 # below 32 is "control" and thus not printable, above 127 is
342 # "not ASCII" in its strict sense, 127 (DEL) is not printable,
343 # fall back to hex representation for non-printables.
344 if fmt == 'ascii':
345 if v in range(32, 126 + 1):
346 return chr(v)
347 hexfmt = "[{:02X}]" if bits <= 8 else "[{:03X}]"
348 return hexfmt.format(v)
349
350 # Mere number to text conversion without prefix and padding
351 # for the "decimal" output format.
352 if fmt == 'dec':
353 return "{:d}".format(v)
354
355 # Padding with leading zeroes for hex/oct/bin formats, but
356 # without a prefix for density -- since the format is user
357 # specified, there is no ambiguity.
358 if fmt == 'hex':
359 digits = (bits + 4 - 1) // 4
360 fmtchar = "X"
361 elif fmt == 'oct':
362 digits = (bits + 3 - 1) // 3
363 fmtchar = "o"
364 elif fmt == 'bin':
365 digits = bits
366 fmtchar = "b"
367 else:
368 fmtchar = None
369 if fmtchar is not None:
370 fmt = "{{:0{:d}{:s}}}".format(digits, fmtchar)
371 return fmt.format(v)
372
373 return None
374
97cca21f 375 def get_parity_bit(self, rxtx, signal):
97cca21f 376 self.paritybit[rxtx] = signal
f44d2db2 377
ac941bf9 378 if parity_ok(self.options['parity_type'], self.paritybit[rxtx],
e9a3c933 379 self.datavalue[rxtx], self.options['num_data_bits']):
15ac6604 380 self.putp(['PARITYBIT', rxtx, self.paritybit[rxtx]])
2ce20a91 381 self.putg([rxtx + 4, ['Parity bit', 'Parity', 'P']])
f44d2db2 382 else:
61132abd 383 # TODO: Return expected/actual parity values.
15ac6604 384 self.putp(['PARITY ERROR', rxtx, (0, 1)]) # FIXME: Dummy tuple...
4e3b276a 385 self.putg([rxtx + 6, ['Parity error', 'Parity err', 'PE']])
96170710 386 self.frame_valid[rxtx] = False
f44d2db2 387
4bb42a91
GS
388 self.state[rxtx] = 'GET STOP BITS'
389
f44d2db2 390 # TODO: Currently only supports 1 stop bit.
97cca21f 391 def get_stop_bits(self, rxtx, signal):
97cca21f 392 self.stopbit1[rxtx] = signal
f44d2db2 393
5cc4b6a0 394 # Stop bits must be 1. If not, we report an error.
97cca21f 395 if self.stopbit1[rxtx] != 1:
15ac6604 396 self.putp(['INVALID STOPBIT', rxtx, self.stopbit1[rxtx]])
76a4498f 397 self.putg([rxtx + 10, ['Frame error', 'Frame err', 'FE']])
96170710 398 self.frame_valid[rxtx] = False
f44d2db2 399
15ac6604 400 self.putp(['STOPBIT', rxtx, self.stopbit1[rxtx]])
2ce20a91 401 self.putg([rxtx + 4, ['Stop bit', 'Stop', 'T']])
f44d2db2 402
96170710
GS
403 # Pass the complete UART frame to upper layers.
404 es = self.samplenum + ceil(self.bit_width / 2.0)
405 self.putpse(self.frame_start[rxtx], es, ['FRAME', rxtx,
406 (self.datavalue[rxtx], self.frame_valid[rxtx])])
407
4bb42a91 408 self.state[rxtx] = 'WAIT FOR START BIT'
d97440cc 409 self.idle_start[rxtx] = self.frame_start[rxtx] + self.frame_len_sample_count
4bb42a91 410
03a986ea
GS
411 def handle_break(self, rxtx):
412 self.putpse(self.frame_start[rxtx], self.samplenum,
413 ['BREAK', rxtx, 0])
414 self.putgse(self.frame_start[rxtx], self.samplenum,
415 [rxtx + 14, ['Break condition', 'Break', 'Brk', 'B']])
416 self.state[rxtx] = 'WAIT FOR START BIT'
417
dcd3d626 418 def get_wait_cond(self, rxtx, inv):
0b83932c
UH
419 # Return condititions that are suitable for Decoder.wait(). Those
420 # conditions either match the falling edge of the START bit, or
421 # the sample point of the next bit time.
dcd3d626
GS
422 state = self.state[rxtx]
423 if state == 'WAIT FOR START BIT':
424 return {rxtx: 'r' if inv else 'f'}
425 if state == 'GET START BIT':
426 bitnum = 0
427 elif state == 'GET DATA BITS':
428 bitnum = 1 + self.cur_data_bit[rxtx]
429 elif state == 'GET PARITY BIT':
430 bitnum = 1 + self.options['num_data_bits']
431 elif state == 'GET STOP BITS':
432 bitnum = 1 + self.options['num_data_bits']
433 bitnum += 0 if self.options['parity_type'] == 'none' else 1
0b83932c
UH
434 want_num = ceil(self.get_sample_point(rxtx, bitnum))
435 return {'skip': want_num - self.samplenum}
dcd3d626 436
d97440cc
GS
437 def get_idle_cond(self, rxtx, inv):
438 # Return a condition that corresponds to the (expected) end of
439 # the next frame, assuming that it will be an "idle frame"
440 # (constant high input level for the frame's length).
441 if self.idle_start[rxtx] is None:
442 return None
443 end_of_frame = self.idle_start[rxtx] + self.frame_len_sample_count
444 if end_of_frame < self.samplenum:
445 return None
446 return {'skip': end_of_frame - self.samplenum}
447
0de2810f 448 def inspect_sample(self, rxtx, signal, inv):
0b83932c 449 # Inspect a sample returned by .wait() for the specified UART line.
0de2810f
GS
450 if inv:
451 signal = not signal
452
453 state = self.state[rxtx]
454 if state == 'WAIT FOR START BIT':
455 self.wait_for_start_bit(rxtx, signal)
456 elif state == 'GET START BIT':
457 self.get_start_bit(rxtx, signal)
458 elif state == 'GET DATA BITS':
459 self.get_data_bits(rxtx, signal)
460 elif state == 'GET PARITY BIT':
461 self.get_parity_bit(rxtx, signal)
462 elif state == 'GET STOP BITS':
463 self.get_stop_bits(rxtx, signal)
464
03a986ea
GS
465 def inspect_edge(self, rxtx, signal, inv):
466 # Inspect edges, independently from traffic, to detect break conditions.
467 if inv:
468 signal = not signal
469 if not signal:
470 # Signal went low. Start another interval.
471 self.break_start[rxtx] = self.samplenum
472 return
473 # Signal went high. Was there an extended period with low signal?
474 if self.break_start[rxtx] is None:
475 return
476 diff = self.samplenum - self.break_start[rxtx]
477 if diff >= self.break_min_sample_count:
478 self.handle_break(rxtx)
479 self.break_start[rxtx] = None
480
d97440cc
GS
481 def inspect_idle(self, rxtx, signal, inv):
482 # Check each edge and each period of stable input (either level).
483 # Can derive the "idle frame period has passed" condition.
484 if inv:
485 signal = not signal
486 if not signal:
487 # Low input, cease inspection.
488 self.idle_start[rxtx] = None
489 return
490 # High input, either just reached, or still stable.
491 if self.idle_start[rxtx] is None:
492 self.idle_start[rxtx] = self.samplenum
493 diff = self.samplenum - self.idle_start[rxtx]
494 if diff < self.frame_len_sample_count:
495 return
496 ss, es = self.idle_start[rxtx], self.samplenum
497 self.putpse(ss, es, ['IDLE', rxtx, 0])
498 self.idle_start[rxtx] = self.samplenum
499
dcd3d626 500 def decode(self):
21cda951
UH
501 if not self.samplerate:
502 raise SamplerateError('Cannot decode without samplerate.')
2fcd7c22 503
dcd3d626
GS
504 has_pin = [self.has_channel(ch) for ch in (RX, TX)]
505 if has_pin == [False, False]:
506 raise ChannelError('Either TX or RX (or both) pins required.')
507
508 opt = self.options
509 inv = [opt['invert_rx'] == 'yes', opt['invert_tx'] == 'yes']
03a986ea
GS
510 cond_data_idx = [None] * len(has_pin)
511
512 # Determine the number of samples for a complete frame's time span.
513 # A period of low signal (at least) that long is a break condition.
514 frame_samples = 1 # START
515 frame_samples += self.options['num_data_bits']
516 frame_samples += 0 if self.options['parity_type'] == 'none' else 1
517 frame_samples += self.options['num_stop_bits']
518 frame_samples *= self.bit_width
d97440cc
GS
519 self.frame_len_sample_count = ceil(frame_samples)
520 self.break_min_sample_count = self.frame_len_sample_count
03a986ea 521 cond_edge_idx = [None] * len(has_pin)
d97440cc 522 cond_idle_idx = [None] * len(has_pin)
dcd3d626
GS
523
524 while True:
525 conds = []
526 if has_pin[RX]:
03a986ea 527 cond_data_idx[RX] = len(conds)
dcd3d626 528 conds.append(self.get_wait_cond(RX, inv[RX]))
03a986ea
GS
529 cond_edge_idx[RX] = len(conds)
530 conds.append({RX: 'e'})
d97440cc
GS
531 cond_idle_idx[RX] = None
532 idle_cond = self.get_idle_cond(RX, inv[RX])
533 if idle_cond:
534 cond_idle_idx[RX] = len(conds)
535 conds.append(idle_cond)
dcd3d626 536 if has_pin[TX]:
03a986ea 537 cond_data_idx[TX] = len(conds)
dcd3d626 538 conds.append(self.get_wait_cond(TX, inv[TX]))
03a986ea
GS
539 cond_edge_idx[TX] = len(conds)
540 conds.append({TX: 'e'})
d97440cc
GS
541 cond_idle_idx[TX] = None
542 idle_cond = self.get_idle_cond(TX, inv[TX])
543 if idle_cond:
544 cond_idle_idx[TX] = len(conds)
545 conds.append(idle_cond)
dcd3d626 546 (rx, tx) = self.wait(conds)
03a986ea 547 if cond_data_idx[RX] is not None and self.matched[cond_data_idx[RX]]:
0de2810f 548 self.inspect_sample(RX, rx, inv[RX])
03a986ea
GS
549 if cond_edge_idx[RX] is not None and self.matched[cond_edge_idx[RX]]:
550 self.inspect_edge(RX, rx, inv[RX])
d97440cc
GS
551 self.inspect_idle(RX, rx, inv[RX])
552 if cond_idle_idx[RX] is not None and self.matched[cond_idle_idx[RX]]:
553 self.inspect_idle(RX, rx, inv[RX])
03a986ea 554 if cond_data_idx[TX] is not None and self.matched[cond_data_idx[TX]]:
0de2810f 555 self.inspect_sample(TX, tx, inv[TX])
03a986ea
GS
556 if cond_edge_idx[TX] is not None and self.matched[cond_edge_idx[TX]]:
557 self.inspect_edge(TX, tx, inv[TX])
d97440cc
GS
558 self.inspect_idle(TX, tx, inv[TX])
559 if cond_idle_idx[TX] is not None and self.matched[cond_idle_idx[TX]]:
560 self.inspect_idle(TX, tx, inv[TX])