]> sigrok.org Git - sigrok-test.git/blob - decoder/test/tdm_audio/tdm-8ch-16bit-cap1.output
ltc242x: Add a test-case.
[sigrok-test.git] / decoder / test / tdm_audio / tdm-8ch-16bit-cap1.output
1 0-399 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
2 399-464 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
3 464-529 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
4 529-594 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
5 594-660 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
6 660-725 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
7 725-790 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
8 790-855 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
9 855-920 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
10 920-985 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
11 985-1050 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
12 1050-1115 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
13 1115-1180 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
14 1180-1246 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
15 1246-1311 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
16 1311-1376 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
17 1376-1441 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
18 1441-1506 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
19 1506-1571 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
20 1571-1636 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
21 1636-1701 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
22 1701-1766 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
23 1766-1831 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
24 1831-1897 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
25 1897-1962 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
26 1962-2027 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
27 2027-2092 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
28 2092-2157 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
29 2157-2222 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
30 2222-2287 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
31 2287-2352 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
32 2352-2417 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
33 2417-2482 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
34 2482-2548 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
35 2548-2613 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
36 2613-2678 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
37 2678-2743 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
38 2743-2808 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
39 2808-2873 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
40 2873-2938 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
41 2938-3003 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
42 3003-3068 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
43 3068-3134 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
44 3134-3199 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
45 3199-3264 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
46 3264-3329 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
47 3329-3394 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
48 3394-3459 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
49 3459-3524 tdm_audio: ch1: "Channel 1: 0000" "C1: 0000" "1: 0000"
50 3524-3589 tdm_audio: ch2: "Channel 2: 0000" "C2: 0000" "2: 0000"
51 3589-3654 tdm_audio: ch3: "Channel 3: 0000" "C3: 0000" "3: 0000"
52 3654-3719 tdm_audio: ch4: "Channel 4: 0000" "C4: 0000" "4: 0000"
53 3719-3785 tdm_audio: ch5: "Channel 5: 0000" "C5: 0000" "5: 0000"
54 3785-3850 tdm_audio: ch6: "Channel 6: 0000" "C6: 0000" "6: 0000"
55 3850-3915 tdm_audio: ch7: "Channel 7: 0000" "C7: 0000" "7: 0000"
56 3915-3980 tdm_audio: ch0: "Channel 8: 0000" "C8: 0000" "8: 0000"
57 3980-4045 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
58 4045-4110 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
59 4110-4175 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
60 4175-4240 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
61 4240-4305 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
62 4305-4370 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
63 4370-4436 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
64 4436-4501 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
65 4501-4566 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
66 4566-4631 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
67 4631-4696 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
68 4696-4761 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
69 4761-4826 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
70 4826-4891 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
71 4891-4956 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
72 4956-5022 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
73 5022-5087 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
74 5087-5152 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
75 5152-5217 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
76 5217-5282 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
77 5282-5347 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
78 5347-5412 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
79 5412-5477 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
80 5477-5542 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
81 5542-5607 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
82 5607-5673 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
83 5673-5738 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
84 5738-5803 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
85 5803-5868 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
86 5868-5933 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
87 5933-5998 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
88 5998-6063 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
89 6063-6128 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
90 6128-6193 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
91 6193-6258 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
92 6258-6324 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
93 6324-6389 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
94 6389-6454 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
95 6454-6519 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
96 6519-6584 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
97 6584-6649 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
98 6649-6714 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
99 6714-6779 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
100 6779-6844 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
101 6844-6910 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
102 6910-6975 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
103 6975-7040 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
104 7040-7105 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
105 7105-7170 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
106 7170-7235 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
107 7235-7300 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
108 7300-7365 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
109 7365-7430 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
110 7430-7495 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
111 7495-7561 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
112 7561-7626 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
113 7626-7691 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
114 7691-7756 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
115 7756-7821 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
116 7821-7886 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
117 7886-7951 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
118 7951-8016 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
119 8016-8081 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
120 8081-8147 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
121 8147-8212 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
122 8212-8277 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
123 8277-8342 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
124 8342-8407 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
125 8407-8472 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
126 8472-8537 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
127 8537-8602 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
128 8602-8667 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
129 8667-8732 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
130 8732-8798 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
131 8798-8863 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
132 8863-8928 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
133 8928-8993 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
134 8993-9058 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
135 9058-9123 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
136 9123-9188 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
137 9188-9253 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
138 9253-9318 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
139 9318-9383 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
140 9383-9449 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
141 9449-9514 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
142 9514-9579 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
143 9579-9644 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
144 9644-9709 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
145 9709-9774 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
146 9774-9839 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
147 9839-9904 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
148 9904-9969 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
149 9969-10035 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
150 10035-10100 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
151 10100-10165 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
152 10165-10230 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
153 10230-10295 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
154 10295-10360 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
155 10360-10425 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
156 10425-10490 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
157 10490-10555 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
158 10555-10620 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
159 10620-10686 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
160 10686-10751 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
161 10751-10816 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
162 10816-10881 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
163 10881-10946 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
164 10946-11011 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
165 11011-11076 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
166 11076-11141 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
167 11141-11206 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
168 11206-11272 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
169 11272-11337 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
170 11337-11402 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
171 11402-11467 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
172 11467-11532 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
173 11532-11597 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
174 11597-11662 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
175 11662-11727 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
176 11727-11792 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
177 11792-11857 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
178 11857-11923 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
179 11923-11988 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
180 11988-12053 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
181 12053-12118 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
182 12118-12183 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
183 12183-12248 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
184 12248-12313 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
185 12313-12378 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
186 12378-12443 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
187 12443-12509 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
188 12509-12574 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
189 12574-12639 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
190 12639-12704 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
191 12704-12769 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
192 12769-12834 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
193 12834-12899 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
194 12899-12964 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
195 12964-13029 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
196 13029-13094 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
197 13094-13160 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
198 13160-13225 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
199 13225-13290 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
200 13290-13355 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
201 13355-13420 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
202 13420-13485 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
203 13485-13550 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
204 13550-13615 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
205 13615-13680 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
206 13680-13745 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
207 13745-13811 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
208 13811-13876 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
209 13876-13941 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
210 13941-14006 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
211 14006-14071 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
212 14071-14136 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
213 14136-14201 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
214 14201-14266 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
215 14266-14331 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
216 14331-14397 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
217 14397-14462 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
218 14462-14527 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
219 14527-14592 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
220 14592-14657 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
221 14657-14722 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
222 14722-14787 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
223 14787-14852 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
224 14852-14917 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
225 14917-14982 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
226 14982-15048 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
227 15048-15113 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
228 15113-15178 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
229 15178-15243 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
230 15243-15308 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
231 15308-15373 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
232 15373-15438 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
233 15438-15503 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
234 15503-15568 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
235 15568-15633 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
236 15633-15699 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
237 15699-15764 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
238 15764-15829 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
239 15829-15894 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
240 15894-15959 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
241 15959-16024 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
242 16024-16089 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
243 16089-16154 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
244 16154-16219 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
245 16219-16284 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
246 16284-16350 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
247 16350-16415 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
248 16415-16480 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
249 16480-16545 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
250 16545-16610 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
251 16610-16675 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
252 16675-16740 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
253 16740-16805 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
254 16805-16870 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
255 16870-16936 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
256 16936-17001 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
257 17001-17066 tdm_audio: ch1: "Channel 1: 0000" "C1: 0000" "1: 0000"
258 17066-17131 tdm_audio: ch2: "Channel 2: 0000" "C2: 0000" "2: 0000"
259 17131-17196 tdm_audio: ch3: "Channel 3: 0000" "C3: 0000" "3: 0000"
260 17196-17261 tdm_audio: ch4: "Channel 4: 0000" "C4: 0000" "4: 0000"
261 17261-17326 tdm_audio: ch5: "Channel 5: 0000" "C5: 0000" "5: 0000"
262 17326-17391 tdm_audio: ch6: "Channel 6: 0000" "C6: 0000" "6: 0000"
263 17391-17456 tdm_audio: ch7: "Channel 7: 0000" "C7: 0000" "7: 0000"
264 17456-17522 tdm_audio: ch0: "Channel 8: 0000" "C8: 0000" "8: 0000"
265 17522-17587 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
266 17587-17652 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
267 17652-17717 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
268 17717-17782 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
269 17782-17847 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
270 17847-17912 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
271 17912-17977 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
272 17977-18042 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
273 18042-18107 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
274 18107-18173 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
275 18173-18238 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
276 18238-18303 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
277 18303-18368 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
278 18368-18433 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
279 18433-18498 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
280 18498-18563 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
281 18563-18628 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
282 18628-18693 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
283 18693-18758 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
284 18758-18824 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
285 18824-18889 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
286 18889-18954 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
287 18954-19019 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
288 19019-19084 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
289 19084-19149 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
290 19149-19214 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
291 19214-19279 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
292 19279-19344 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
293 19344-19410 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
294 19410-19475 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"
295 19475-19540 tdm_audio: ch7: "Channel 7: 1212" "C7: 1212" "7: 1212"
296 19540-19605 tdm_audio: ch0: "Channel 8: 1212" "C8: 1212" "8: 1212"
297 19605-19670 tdm_audio: ch1: "Channel 1: 1212" "C1: 1212" "1: 1212"
298 19670-19735 tdm_audio: ch2: "Channel 2: 1212" "C2: 1212" "2: 1212"
299 19735-19800 tdm_audio: ch3: "Channel 3: 1212" "C3: 1212" "3: 1212"
300 19800-19865 tdm_audio: ch4: "Channel 4: 1212" "C4: 1212" "4: 1212"
301 19865-19930 tdm_audio: ch5: "Channel 5: 1212" "C5: 1212" "5: 1212"
302 19930-19995 tdm_audio: ch6: "Channel 6: 1212" "C6: 1212" "6: 1212"