]> sigrok.org Git - libsigrokdecode.git/blame - decoders/uart/pd.py
uart: handle two stop bits configuration
[libsigrokdecode.git] / decoders / uart / pd.py
CommitLineData
f44d2db2 1##
50bd5d25 2## This file is part of the libsigrokdecode project.
f44d2db2 3##
0bb7bcf3 4## Copyright (C) 2011-2014 Uwe Hermann <uwe@hermann-uwe.de>
f44d2db2
UH
5##
6## This program is free software; you can redistribute it and/or modify
7## it under the terms of the GNU General Public License as published by
8## the Free Software Foundation; either version 2 of the License, or
9## (at your option) any later version.
10##
11## This program is distributed in the hope that it will be useful,
12## but WITHOUT ANY WARRANTY; without even the implied warranty of
13## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
14## GNU General Public License for more details.
15##
16## You should have received a copy of the GNU General Public License
4539e9ca 17## along with this program; if not, see <http://www.gnu.org/licenses/>.
f44d2db2
UH
18##
19
677d597b 20import sigrokdecode as srd
5166b031 21from common.srdhelper import bitpack
b5712ccb 22from math import floor, ceil
f44d2db2 23
4cace3b8 24'''
c515eed7 25OUTPUT_PYTHON format:
4cace3b8 26
bf69977d
UH
27Packet:
28[<ptype>, <rxtx>, <pdata>]
4cace3b8 29
bf69977d 30This is the list of <ptype>s and their respective <pdata> values:
4cace3b8 31 - 'STARTBIT': The data is the (integer) value of the start bit (0/1).
0c7d5a56
UH
32 - 'DATA': This is always a tuple containing two items:
33 - 1st item: the (integer) value of the UART data. Valid values
6ffd71c1 34 range from 0 to 511 (as the data can be up to 9 bits in size).
0c7d5a56 35 - 2nd item: the list of individual data bits and their ss/es numbers.
4cace3b8
UH
36 - 'PARITYBIT': The data is the (integer) value of the parity bit (0/1).
37 - 'STOPBIT': The data is the (integer) value of the stop bit (0 or 1).
38 - 'INVALID STARTBIT': The data is the (integer) value of the start bit (0/1).
39 - 'INVALID STOPBIT': The data is the (integer) value of the stop bit (0/1).
40 - 'PARITY ERROR': The data is a tuple with two entries. The first one is
41 the expected parity value, the second is the actual parity value.
b025eab7 42 - 'BREAK': The data is always 0.
96170710
GS
43 - 'FRAME': The data is always a tuple containing two items: The (integer)
44 value of the UART data, and a boolean which reflects the validity of the
45 UART frame.
77c986b3 46 - 'IDLE': The data is always 0.
4cace3b8
UH
47
48The <rxtx> field is 0 for RX packets, 1 for TX packets.
49'''
50
97cca21f
UH
51# Used for differentiating between the two data directions.
52RX = 0
53TX = 1
54
f44d2db2
UH
55# Given a parity type to check (odd, even, zero, one), the value of the
56# parity bit, the value of the data, and the length of the data (5-9 bits,
57# usually 8 bits) return True if the parity is correct, False otherwise.
a7fc4c34 58# 'none' is _not_ allowed as value for 'parity_type'.
fb7a2f68 59def parity_ok(parity_type, parity_bit, data, data_bits):
f44d2db2 60
5ef0a979
GS
61 if parity_type == 'ignore':
62 return True
63
f44d2db2 64 # Handle easy cases first (parity bit is always 1 or 0).
a7fc4c34 65 if parity_type == 'zero':
f44d2db2 66 return parity_bit == 0
a7fc4c34 67 elif parity_type == 'one':
f44d2db2
UH
68 return parity_bit == 1
69
70 # Count number of 1 (high) bits in the data (and the parity bit itself!).
ac941bf9 71 ones = bin(data).count('1') + parity_bit
f44d2db2
UH
72
73 # Check for odd/even parity.
a7fc4c34 74 if parity_type == 'odd':
ac941bf9 75 return (ones % 2) == 1
a7fc4c34 76 elif parity_type == 'even':
ac941bf9 77 return (ones % 2) == 0
f44d2db2 78
21cda951
UH
79class SamplerateError(Exception):
80 pass
81
f04964c6
UH
82class ChannelError(Exception):
83 pass
84
c69e72bc
UH
85class Ann:
86 RX_DATA, TX_DATA, RX_START, TX_START, RX_PARITY_OK, TX_PARITY_OK, \
87 RX_PARITY_ERR, TX_PARITY_ERR, RX_STOP, TX_STOP, RX_WARN, TX_WARN, \
88 RX_DATA_BIT, TX_DATA_BIT, RX_BREAK, TX_BREAK, RX_PACKET, TX_PACKET = \
89 range(18)
90
f34113a3
UH
91class Bin:
92 RX, TX, RXTX = range(3)
93
677d597b 94class Decoder(srd.Decoder):
dcd3d626 95 api_version = 3
f44d2db2
UH
96 id = 'uart'
97 name = 'UART'
3d3da57d 98 longname = 'Universal Asynchronous Receiver/Transmitter'
a465436e 99 desc = 'Asynchronous, serial bus.'
f44d2db2
UH
100 license = 'gplv2+'
101 inputs = ['logic']
102 outputs = ['uart']
d6d8a8a4 103 tags = ['Embedded/industrial']
6a15597a 104 optional_channels = (
f44d2db2
UH
105 # Allow specifying only one of the signals, e.g. if only one data
106 # direction exists (or is relevant).
29ed0f4c
UH
107 {'id': 'rx', 'name': 'RX', 'desc': 'UART receive line'},
108 {'id': 'tx', 'name': 'TX', 'desc': 'UART transmit line'},
da9bcbd9 109 )
84c1c0b5
BV
110 options = (
111 {'id': 'baudrate', 'desc': 'Baud rate', 'default': 115200},
fb7a2f68 112 {'id': 'data_bits', 'desc': 'Data bits', 'default': 8,
84c1c0b5 113 'values': (5, 6, 7, 8, 9)},
fb7a2f68 114 {'id': 'parity', 'desc': 'Parity', 'default': 'none',
5ef0a979 115 'values': ('none', 'odd', 'even', 'zero', 'one', 'ignore')},
fb7a2f68 116 {'id': 'stop_bits', 'desc': 'Stop bits', 'default': 1.0,
1fc5b8a5 117 'values': (0.0, 0.5, 1.0, 1.5, 2.0)},
84c1c0b5
BV
118 {'id': 'bit_order', 'desc': 'Bit order', 'default': 'lsb-first',
119 'values': ('lsb-first', 'msb-first')},
ea36c198 120 {'id': 'format', 'desc': 'Data format', 'default': 'hex',
84c1c0b5 121 'values': ('ascii', 'dec', 'hex', 'oct', 'bin')},
1d764fd0 122 {'id': 'invert_rx', 'desc': 'Invert RX', 'default': 'no',
4eafeeef 123 'values': ('yes', 'no')},
1d764fd0 124 {'id': 'invert_tx', 'desc': 'Invert TX', 'default': 'no',
4eafeeef 125 'values': ('yes', 'no')},
bd50ceb3 126 {'id': 'sample_point', 'desc': 'Sample point (%)', 'default': 50},
fb7a2f68 127 {'id': 'rx_packet_delim', 'desc': 'RX packet delimiter (decimal)',
ab0522b8 128 'default': -1},
fb7a2f68 129 {'id': 'tx_packet_delim', 'desc': 'TX packet delimiter (decimal)',
ab0522b8 130 'default': -1},
0878d4ba
UH
131 {'id': 'rx_packet_len', 'desc': 'RX packet length', 'default': -1},
132 {'id': 'tx_packet_len', 'desc': 'TX packet length', 'default': -1},
84c1c0b5 133 )
da9bcbd9
BV
134 annotations = (
135 ('rx-data', 'RX data'),
136 ('tx-data', 'TX data'),
e144452b
UH
137 ('rx-start', 'RX start bit'),
138 ('tx-start', 'TX start bit'),
139 ('rx-parity-ok', 'RX parity OK bit'),
140 ('tx-parity-ok', 'TX parity OK bit'),
141 ('rx-parity-err', 'RX parity error bit'),
142 ('tx-parity-err', 'TX parity error bit'),
143 ('rx-stop', 'RX stop bit'),
144 ('tx-stop', 'TX stop bit'),
145 ('rx-warning', 'RX warning'),
146 ('tx-warning', 'TX warning'),
147 ('rx-data-bit', 'RX data bit'),
148 ('tx-data-bit', 'TX data bit'),
03a986ea
GS
149 ('rx-break', 'RX break'),
150 ('tx-break', 'TX break'),
ab0522b8
UH
151 ('rx-packet', 'RX packet'),
152 ('tx-packet', 'TX packet'),
da9bcbd9 153 )
2ce20a91 154 annotation_rows = (
c69e72bc 155 ('rx-data-bits', 'RX bits', (Ann.RX_DATA_BIT,)),
e144452b 156 ('rx-data-vals', 'RX data', (Ann.RX_DATA, Ann.RX_START, Ann.RX_PARITY_OK, Ann.RX_PARITY_ERR, Ann.RX_STOP)),
c69e72bc 157 ('rx-warnings', 'RX warnings', (Ann.RX_WARN,)),
e144452b 158 ('rx-breaks', 'RX breaks', (Ann.RX_BREAK,)),
c69e72bc
UH
159 ('rx-packets', 'RX packets', (Ann.RX_PACKET,)),
160 ('tx-data-bits', 'TX bits', (Ann.TX_DATA_BIT,)),
e144452b 161 ('tx-data-vals', 'TX data', (Ann.TX_DATA, Ann.TX_START, Ann.TX_PARITY_OK, Ann.TX_PARITY_ERR, Ann.TX_STOP)),
c69e72bc 162 ('tx-warnings', 'TX warnings', (Ann.TX_WARN,)),
e144452b 163 ('tx-breaks', 'TX breaks', (Ann.TX_BREAK,)),
c69e72bc 164 ('tx-packets', 'TX packets', (Ann.TX_PACKET,)),
2ce20a91 165 )
0bb7bcf3
UH
166 binary = (
167 ('rx', 'RX dump'),
168 ('tx', 'TX dump'),
169 ('rxtx', 'RX/TX dump'),
170 )
96a044da 171 idle_state = ['WAIT FOR START BIT', 'WAIT FOR START BIT']
f44d2db2 172
97cca21f 173 def putx(self, rxtx, data):
b5712ccb
PA
174 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
175 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_ann, data)
15ac6604 176
ab0522b8
UH
177 def putx_packet(self, rxtx, data):
178 s, halfbit = self.ss_packet[rxtx], self.bit_width / 2.0
179 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_ann, data)
180
4aedd5b8 181 def putpx(self, rxtx, data):
b5712ccb
PA
182 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
183 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_python, data)
4aedd5b8 184
15ac6604 185 def putg(self, data):
b5712ccb
PA
186 s, halfbit = self.samplenum, self.bit_width / 2.0
187 self.put(s - floor(halfbit), s + ceil(halfbit), self.out_ann, data)
15ac6604
UH
188
189 def putp(self, data):
b5712ccb
PA
190 s, halfbit = self.samplenum, self.bit_width / 2.0
191 self.put(s - floor(halfbit), s + ceil(halfbit), self.out_python, data)
97cca21f 192
03a986ea
GS
193 def putgse(self, ss, es, data):
194 self.put(ss, es, self.out_ann, data)
195
196 def putpse(self, ss, es, data):
197 self.put(ss, es, self.out_python, data)
198
0bb7bcf3 199 def putbin(self, rxtx, data):
b5712ccb 200 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
2f370328 201 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_binary, data)
0bb7bcf3 202
92b7b49f 203 def __init__(self):
10aeb8ea
GS
204 self.reset()
205
206 def reset(self):
f372d597 207 self.samplerate = None
97cca21f 208 self.frame_start = [-1, -1]
96170710 209 self.frame_valid = [None, None]
97cca21f
UH
210 self.startbit = [-1, -1]
211 self.cur_data_bit = [0, 0]
e9a3c933 212 self.datavalue = [0, 0]
1ccef461 213 self.paritybit = [-1, -1]
1fc5b8a5 214 self.stopbits = [[], []]
97cca21f 215 self.startsample = [-1, -1]
2b716038 216 self.state = ['WAIT FOR START BIT', 'WAIT FOR START BIT']
4aedd5b8 217 self.databits = [[], []]
03a986ea 218 self.break_start = [None, None]
ab0522b8
UH
219 self.packet_cache = [[], []]
220 self.ss_packet, self.es_packet = [None, None], [None, None]
d97440cc 221 self.idle_start = [None, None]
f44d2db2 222
f372d597 223 def start(self):
c515eed7 224 self.out_python = self.register(srd.OUTPUT_PYTHON)
2f370328 225 self.out_binary = self.register(srd.OUTPUT_BINARY)
be465111 226 self.out_ann = self.register(srd.OUTPUT_ANN)
fb7a2f68 227 self.bw = (self.options['data_bits'] + 7) // 8
f44d2db2 228
f372d597
BV
229 def metadata(self, key, value):
230 if key == srd.SRD_CONF_SAMPLERATE:
35b380b1 231 self.samplerate = value
f372d597
BV
232 # The width of one UART bit in number of samples.
233 self.bit_width = float(self.samplerate) / float(self.options['baudrate'])
f44d2db2 234
dcd3d626 235 def get_sample_point(self, rxtx, bitnum):
0b83932c 236 # Determine absolute sample number of a bit slot's sample point.
bd50ceb3
GS
237 # Counts for UART bits start from 0 (0 = start bit, 1..x = data,
238 # x+1 = parity bit (if used) or the first stop bit, and so on).
3d2d91e0 239 # Accept a position in the range of 1-99% of the full bit width.
bd50ceb3
GS
240 # Assume 50% for invalid input specs for backwards compatibility.
241 perc = self.options['sample_point'] or 50
242 if not perc or perc not in range(1, 100):
243 perc = 50
3d2d91e0
GS
244 perc /= 100.0
245 bitpos = (self.bit_width - 1) * perc
bd50ceb3 246 bitpos += self.frame_start[rxtx]
f44d2db2 247 bitpos += bitnum * self.bit_width
dcd3d626
GS
248 return bitpos
249
dcd3d626 250 def wait_for_start_bit(self, rxtx, signal):
f44d2db2 251 # Save the sample number where the start bit begins.
97cca21f 252 self.frame_start[rxtx] = self.samplenum
96170710 253 self.frame_valid[rxtx] = True
f44d2db2 254
42d4d65c 255 self.advance_state(rxtx, signal)
f44d2db2 256
97cca21f 257 def get_start_bit(self, rxtx, signal):
97cca21f 258 self.startbit[rxtx] = signal
f44d2db2 259
711d0602
GS
260 # The startbit must be 0. If not, we report an error and wait
261 # for the next start bit (assuming this one was spurious).
97cca21f 262 if self.startbit[rxtx] != 0:
15ac6604 263 self.putp(['INVALID STARTBIT', rxtx, self.startbit[rxtx]])
c69e72bc 264 self.putg([Ann.RX_WARN + rxtx, ['Frame error', 'Frame err', 'FE']])
96170710
GS
265 self.frame_valid[rxtx] = False
266 es = self.samplenum + ceil(self.bit_width / 2.0)
267 self.putpse(self.frame_start[rxtx], es, ['FRAME', rxtx,
268 (self.datavalue[rxtx], self.frame_valid[rxtx])])
42d4d65c 269 self.advance_state(rxtx, signal, fatal = True, idle = es)
711d0602 270 return
f44d2db2 271
1fc5b8a5 272 # Reset internal state for the pending UART frame.
97cca21f 273 self.cur_data_bit[rxtx] = 0
e9a3c933 274 self.datavalue[rxtx] = 0
1fc5b8a5
GS
275 self.paritybit[rxtx] = -1
276 self.stopbits[rxtx].clear()
97cca21f 277 self.startsample[rxtx] = -1
1fc5b8a5 278 self.databits[rxtx].clear()
f44d2db2 279
15ac6604 280 self.putp(['STARTBIT', rxtx, self.startbit[rxtx]])
c69e72bc 281 self.putg([Ann.RX_START + rxtx, ['Start bit', 'Start', 'S']])
f44d2db2 282
42d4d65c 283 self.advance_state(rxtx, signal)
4bb42a91 284
ab0522b8 285 def handle_packet(self, rxtx):
0878d4ba 286 d = 'rx' if (rxtx == RX) else 'tx'
fb7a2f68 287 delim = self.options[d + '_packet_delim']
0878d4ba
UH
288 plen = self.options[d + '_packet_len']
289 if delim == -1 and plen == -1:
ab0522b8
UH
290 return
291
0878d4ba
UH
292 # Cache data values until we see the delimiter and/or the specified
293 # packet length has been reached (whichever happens first).
ab0522b8
UH
294 if len(self.packet_cache[rxtx]) == 0:
295 self.ss_packet[rxtx] = self.startsample[rxtx]
296 self.packet_cache[rxtx].append(self.datavalue[rxtx])
0878d4ba 297 if self.datavalue[rxtx] == delim or len(self.packet_cache[rxtx]) == plen:
ab0522b8
UH
298 self.es_packet[rxtx] = self.samplenum
299 s = ''
300 for b in self.packet_cache[rxtx]:
301 s += self.format_value(b)
302 if self.options['format'] != 'ascii':
303 s += ' '
304 if self.options['format'] != 'ascii' and s[-1] == ' ':
305 s = s[:-1] # Drop trailing space.
c69e72bc 306 self.putx_packet(rxtx, [Ann.RX_PACKET + rxtx, [s]])
ab0522b8
UH
307 self.packet_cache[rxtx] = []
308
97cca21f 309 def get_data_bits(self, rxtx, signal):
15ac6604 310 # Save the sample number of the middle of the first data bit.
97cca21f
UH
311 if self.startsample[rxtx] == -1:
312 self.startsample[rxtx] = self.samplenum
f44d2db2 313
c69e72bc 314 self.putg([Ann.RX_DATA_BIT + rxtx, ['%d' % signal]])
4aedd5b8
UH
315
316 # Store individual data bits and their start/end samplenumbers.
317 s, halfbit = self.samplenum, int(self.bit_width / 2)
318 self.databits[rxtx].append([signal, s - halfbit, s + halfbit])
319
f44d2db2 320 # Return here, unless we already received all data bits.
5e3c79fd 321 self.cur_data_bit[rxtx] += 1
fb7a2f68 322 if self.cur_data_bit[rxtx] < self.options['data_bits']:
1bb57ab8 323 return
f44d2db2 324
5166b031
GS
325 # Convert accumulated data bits to a data value.
326 bits = [b[0] for b in self.databits[rxtx]]
327 if self.options['bit_order'] == 'msb-first':
328 bits.reverse()
329 self.datavalue[rxtx] = bitpack(bits)
7cf698c5 330 self.putpx(rxtx, ['DATA', rxtx,
e9a3c933 331 (self.datavalue[rxtx], self.databits[rxtx])])
f44d2db2 332
6ffd71c1
GS
333 b = self.datavalue[rxtx]
334 formatted = self.format_value(b)
335 if formatted is not None:
336 self.putx(rxtx, [rxtx, [formatted]])
f44d2db2 337
98b89139 338 bdata = b.to_bytes(self.bw, byteorder='big')
f34113a3
UH
339 self.putbin(rxtx, [Bin.RX + rxtx, bdata])
340 self.putbin(rxtx, [Bin.RXTX, bdata])
0bb7bcf3 341
ab0522b8
UH
342 self.handle_packet(rxtx)
343
c1fc50b1 344 self.databits[rxtx] = []
4aedd5b8 345
42d4d65c 346 self.advance_state(rxtx, signal)
4bb42a91 347
6ffd71c1
GS
348 def format_value(self, v):
349 # Format value 'v' according to configured options.
350 # Reflects the user selected kind of representation, as well as
351 # the number of data bits in the UART frames.
352
fb7a2f68 353 fmt, bits = self.options['format'], self.options['data_bits']
6ffd71c1
GS
354
355 # Assume "is printable" for values from 32 to including 126,
356 # below 32 is "control" and thus not printable, above 127 is
357 # "not ASCII" in its strict sense, 127 (DEL) is not printable,
358 # fall back to hex representation for non-printables.
359 if fmt == 'ascii':
360 if v in range(32, 126 + 1):
361 return chr(v)
362 hexfmt = "[{:02X}]" if bits <= 8 else "[{:03X}]"
363 return hexfmt.format(v)
364
365 # Mere number to text conversion without prefix and padding
366 # for the "decimal" output format.
367 if fmt == 'dec':
368 return "{:d}".format(v)
369
370 # Padding with leading zeroes for hex/oct/bin formats, but
371 # without a prefix for density -- since the format is user
372 # specified, there is no ambiguity.
373 if fmt == 'hex':
374 digits = (bits + 4 - 1) // 4
375 fmtchar = "X"
376 elif fmt == 'oct':
377 digits = (bits + 3 - 1) // 3
378 fmtchar = "o"
379 elif fmt == 'bin':
380 digits = bits
381 fmtchar = "b"
382 else:
383 fmtchar = None
384 if fmtchar is not None:
385 fmt = "{{:0{:d}{:s}}}".format(digits, fmtchar)
386 return fmt.format(v)
387
388 return None
389
97cca21f 390 def get_parity_bit(self, rxtx, signal):
97cca21f 391 self.paritybit[rxtx] = signal
f44d2db2 392
fb7a2f68
UH
393 if parity_ok(self.options['parity'], self.paritybit[rxtx],
394 self.datavalue[rxtx], self.options['data_bits']):
15ac6604 395 self.putp(['PARITYBIT', rxtx, self.paritybit[rxtx]])
c69e72bc 396 self.putg([Ann.RX_PARITY_OK + rxtx, ['Parity bit', 'Parity', 'P']])
f44d2db2 397 else:
61132abd 398 # TODO: Return expected/actual parity values.
15ac6604 399 self.putp(['PARITY ERROR', rxtx, (0, 1)]) # FIXME: Dummy tuple...
c69e72bc 400 self.putg([Ann.RX_PARITY_ERR + rxtx, ['Parity error', 'Parity err', 'PE']])
96170710 401 self.frame_valid[rxtx] = False
f44d2db2 402
42d4d65c 403 self.advance_state(rxtx, signal)
4bb42a91 404
97cca21f 405 def get_stop_bits(self, rxtx, signal):
1fc5b8a5 406 self.stopbits[rxtx].append(signal)
f44d2db2 407
5cc4b6a0 408 # Stop bits must be 1. If not, we report an error.
1fc5b8a5
GS
409 if signal != 1:
410 self.putp(['INVALID STOPBIT', rxtx, signal])
c69e72bc 411 self.putg([Ann.RX_WARN + rxtx, ['Frame error', 'Frame err', 'FE']])
96170710 412 self.frame_valid[rxtx] = False
f44d2db2 413
1fc5b8a5 414 self.putp(['STOPBIT', rxtx, signal])
b2ddb8ee 415 self.putg([Ann.RX_STOP + rxtx, ['Stop bit', 'Stop', 'T']])
f44d2db2 416
1fc5b8a5
GS
417 # Postprocess the UART frame after all STOP bits were seen.
418 if len(self.stopbits[rxtx]) < self.options['stop_bits']:
419 return
42d4d65c
GS
420 self.advance_state(rxtx, signal)
421
422 def advance_state(self, rxtx, signal = None, fatal = False, idle = None):
423 # Advances the protocol decoder's internal state for all regular
424 # UART frame inspection. Deals with either edges, sample points,
425 # or other .wait() conditions. Also gracefully handles extreme
426 # undersampling. Each turn takes one .wait() call which in turn
427 # corresponds to at least one sample. That is why as many state
428 # transitions are done here as required within a single call.
429 frame_end = self.frame_start[rxtx] + self.frame_len_sample_count
430 if idle is not None:
431 # When requested by the caller, start another (potential)
432 # IDLE period after the caller specified position.
433 self.idle_start[rxtx] = idle
434 if fatal:
435 # When requested by the caller, don't advance to the next
436 # UART frame's field, but to the start of the next START bit
437 # instead.
438 self.state[rxtx] = 'WAIT FOR START BIT'
439 return
440 # Advance to the next UART frame's field that we expect. Cope
441 # with absence of optional fields. Force scan for next IDLE
442 # after the (optional) STOP bit field, so that callers need
443 # not deal with optional field presence. Also handles the cases
444 # where the decoder navigates to edges which are not strictly
445 # a field's sampling point.
446 if self.state[rxtx] == 'WAIT FOR START BIT':
447 self.state[rxtx] = 'GET START BIT'
448 return
449 if self.state[rxtx] == 'GET START BIT':
450 self.state[rxtx] = 'GET DATA BITS'
451 return
452 if self.state[rxtx] == 'GET DATA BITS':
453 self.state[rxtx] = 'GET PARITY BIT'
454 if self.options['parity'] != 'none':
455 return
456 # FALLTHROUGH
457 if self.state[rxtx] == 'GET PARITY BIT':
458 self.state[rxtx] = 'GET STOP BITS'
459 if self.options['stop_bits']:
460 return
461 # FALLTHROUGH
462 if self.state[rxtx] == 'GET STOP BITS':
463 # Postprocess the previously received UART frame. Advance
464 # the read position to after the frame's last bit time. So
465 # that the start of the next START bit won't fall into the
466 # end of the previously received UART frame. This improves
467 # robustness in the presence of glitchy input data.
468 ss = self.frame_start[rxtx]
469 es = self.samplenum + ceil(self.bit_width / 2.0)
470 self.handle_frame(rxtx, ss, es)
471 self.state[rxtx] = 'WAIT FOR START BIT'
472 self.idle_start[rxtx] = frame_end
473 return
474 # Unhandled state, actually a programming error. Emit diagnostics?
475 self.state[rxtx] = 'WAIT FOR START BIT'
476
477 def handle_frame(self, rxtx, ss, es):
96170710 478 # Pass the complete UART frame to upper layers.
42d4d65c 479 self.putpse(ss, es, ['FRAME', rxtx,
96170710
GS
480 (self.datavalue[rxtx], self.frame_valid[rxtx])])
481
42d4d65c
GS
482 def handle_idle(self, rxtx, ss, es):
483 self.putpse(ss, es, ['IDLE', rxtx, 0])
4bb42a91 484
42d4d65c
GS
485 def handle_break(self, rxtx, ss, es):
486 self.putpse(ss, es, ['BREAK', rxtx, 0])
487 self.putgse(ss, es, [Ann.RX_BREAK + rxtx,
488 ['Break condition', 'Break', 'Brk', 'B']])
03a986ea
GS
489 self.state[rxtx] = 'WAIT FOR START BIT'
490
dcd3d626 491 def get_wait_cond(self, rxtx, inv):
0b83932c
UH
492 # Return condititions that are suitable for Decoder.wait(). Those
493 # conditions either match the falling edge of the START bit, or
494 # the sample point of the next bit time.
dcd3d626
GS
495 state = self.state[rxtx]
496 if state == 'WAIT FOR START BIT':
497 return {rxtx: 'r' if inv else 'f'}
498 if state == 'GET START BIT':
499 bitnum = 0
500 elif state == 'GET DATA BITS':
501 bitnum = 1 + self.cur_data_bit[rxtx]
502 elif state == 'GET PARITY BIT':
fb7a2f68 503 bitnum = 1 + self.options['data_bits']
dcd3d626 504 elif state == 'GET STOP BITS':
1fc5b8a5 505 # TODO: Currently does not support half STOP bits.
fb7a2f68
UH
506 bitnum = 1 + self.options['data_bits']
507 bitnum += 0 if self.options['parity'] == 'none' else 1
1fc5b8a5 508 bitnum += len(self.stopbits[rxtx])
0b83932c
UH
509 want_num = ceil(self.get_sample_point(rxtx, bitnum))
510 return {'skip': want_num - self.samplenum}
dcd3d626 511
d97440cc
GS
512 def get_idle_cond(self, rxtx, inv):
513 # Return a condition that corresponds to the (expected) end of
514 # the next frame, assuming that it will be an "idle frame"
515 # (constant high input level for the frame's length).
516 if self.idle_start[rxtx] is None:
517 return None
518 end_of_frame = self.idle_start[rxtx] + self.frame_len_sample_count
519 if end_of_frame < self.samplenum:
520 return None
521 return {'skip': end_of_frame - self.samplenum}
522
0de2810f 523 def inspect_sample(self, rxtx, signal, inv):
0b83932c 524 # Inspect a sample returned by .wait() for the specified UART line.
0de2810f
GS
525 if inv:
526 signal = not signal
527
528 state = self.state[rxtx]
529 if state == 'WAIT FOR START BIT':
530 self.wait_for_start_bit(rxtx, signal)
531 elif state == 'GET START BIT':
532 self.get_start_bit(rxtx, signal)
533 elif state == 'GET DATA BITS':
534 self.get_data_bits(rxtx, signal)
535 elif state == 'GET PARITY BIT':
536 self.get_parity_bit(rxtx, signal)
537 elif state == 'GET STOP BITS':
538 self.get_stop_bits(rxtx, signal)
539
03a986ea
GS
540 def inspect_edge(self, rxtx, signal, inv):
541 # Inspect edges, independently from traffic, to detect break conditions.
542 if inv:
543 signal = not signal
544 if not signal:
545 # Signal went low. Start another interval.
546 self.break_start[rxtx] = self.samplenum
547 return
548 # Signal went high. Was there an extended period with low signal?
549 if self.break_start[rxtx] is None:
550 return
551 diff = self.samplenum - self.break_start[rxtx]
552 if diff >= self.break_min_sample_count:
42d4d65c
GS
553 ss, es = self.frame_start[rxtx], self.samplenum
554 self.handle_break(rxtx, ss, es)
03a986ea
GS
555 self.break_start[rxtx] = None
556
d97440cc
GS
557 def inspect_idle(self, rxtx, signal, inv):
558 # Check each edge and each period of stable input (either level).
559 # Can derive the "idle frame period has passed" condition.
560 if inv:
561 signal = not signal
562 if not signal:
563 # Low input, cease inspection.
564 self.idle_start[rxtx] = None
565 return
566 # High input, either just reached, or still stable.
567 if self.idle_start[rxtx] is None:
568 self.idle_start[rxtx] = self.samplenum
569 diff = self.samplenum - self.idle_start[rxtx]
570 if diff < self.frame_len_sample_count:
571 return
572 ss, es = self.idle_start[rxtx], self.samplenum
42d4d65c
GS
573 self.handle_idle(rxtx, ss, es)
574 self.idle_start[rxtx] = es
d97440cc 575
dcd3d626 576 def decode(self):
21cda951
UH
577 if not self.samplerate:
578 raise SamplerateError('Cannot decode without samplerate.')
2fcd7c22 579
dcd3d626 580 has_pin = [self.has_channel(ch) for ch in (RX, TX)]
81bb8e84
GS
581 if not True in has_pin:
582 raise ChannelError('Need at least one of TX or RX pins.')
dcd3d626
GS
583
584 opt = self.options
585 inv = [opt['invert_rx'] == 'yes', opt['invert_tx'] == 'yes']
03a986ea
GS
586 cond_data_idx = [None] * len(has_pin)
587
588 # Determine the number of samples for a complete frame's time span.
589 # A period of low signal (at least) that long is a break condition.
590 frame_samples = 1 # START
fb7a2f68
UH
591 frame_samples += self.options['data_bits']
592 frame_samples += 0 if self.options['parity'] == 'none' else 1
593 frame_samples += self.options['stop_bits']
03a986ea 594 frame_samples *= self.bit_width
d97440cc
GS
595 self.frame_len_sample_count = ceil(frame_samples)
596 self.break_min_sample_count = self.frame_len_sample_count
03a986ea 597 cond_edge_idx = [None] * len(has_pin)
d97440cc 598 cond_idle_idx = [None] * len(has_pin)
dcd3d626
GS
599
600 while True:
601 conds = []
602 if has_pin[RX]:
03a986ea 603 cond_data_idx[RX] = len(conds)
dcd3d626 604 conds.append(self.get_wait_cond(RX, inv[RX]))
03a986ea
GS
605 cond_edge_idx[RX] = len(conds)
606 conds.append({RX: 'e'})
d97440cc
GS
607 cond_idle_idx[RX] = None
608 idle_cond = self.get_idle_cond(RX, inv[RX])
609 if idle_cond:
610 cond_idle_idx[RX] = len(conds)
611 conds.append(idle_cond)
dcd3d626 612 if has_pin[TX]:
03a986ea 613 cond_data_idx[TX] = len(conds)
dcd3d626 614 conds.append(self.get_wait_cond(TX, inv[TX]))
03a986ea
GS
615 cond_edge_idx[TX] = len(conds)
616 conds.append({TX: 'e'})
d97440cc
GS
617 cond_idle_idx[TX] = None
618 idle_cond = self.get_idle_cond(TX, inv[TX])
619 if idle_cond:
620 cond_idle_idx[TX] = len(conds)
621 conds.append(idle_cond)
dcd3d626 622 (rx, tx) = self.wait(conds)
03a986ea 623 if cond_data_idx[RX] is not None and self.matched[cond_data_idx[RX]]:
0de2810f 624 self.inspect_sample(RX, rx, inv[RX])
03a986ea
GS
625 if cond_edge_idx[RX] is not None and self.matched[cond_edge_idx[RX]]:
626 self.inspect_edge(RX, rx, inv[RX])
d97440cc
GS
627 self.inspect_idle(RX, rx, inv[RX])
628 if cond_idle_idx[RX] is not None and self.matched[cond_idle_idx[RX]]:
629 self.inspect_idle(RX, rx, inv[RX])
03a986ea 630 if cond_data_idx[TX] is not None and self.matched[cond_data_idx[TX]]:
0de2810f 631 self.inspect_sample(TX, tx, inv[TX])
03a986ea
GS
632 if cond_edge_idx[TX] is not None and self.matched[cond_edge_idx[TX]]:
633 self.inspect_edge(TX, tx, inv[TX])
d97440cc
GS
634 self.inspect_idle(TX, tx, inv[TX])
635 if cond_idle_idx[TX] is not None and self.matched[cond_idle_idx[TX]]:
636 self.inspect_idle(TX, tx, inv[TX])