]> sigrok.org Git - libsigrokdecode.git/blame - decoders/uart/pd.py
uart: handle zero stop bits configuration
[libsigrokdecode.git] / decoders / uart / pd.py
CommitLineData
f44d2db2 1##
50bd5d25 2## This file is part of the libsigrokdecode project.
f44d2db2 3##
0bb7bcf3 4## Copyright (C) 2011-2014 Uwe Hermann <uwe@hermann-uwe.de>
f44d2db2
UH
5##
6## This program is free software; you can redistribute it and/or modify
7## it under the terms of the GNU General Public License as published by
8## the Free Software Foundation; either version 2 of the License, or
9## (at your option) any later version.
10##
11## This program is distributed in the hope that it will be useful,
12## but WITHOUT ANY WARRANTY; without even the implied warranty of
13## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
14## GNU General Public License for more details.
15##
16## You should have received a copy of the GNU General Public License
4539e9ca 17## along with this program; if not, see <http://www.gnu.org/licenses/>.
f44d2db2
UH
18##
19
677d597b 20import sigrokdecode as srd
5166b031 21from common.srdhelper import bitpack
b5712ccb 22from math import floor, ceil
f44d2db2 23
4cace3b8 24'''
c515eed7 25OUTPUT_PYTHON format:
4cace3b8 26
bf69977d
UH
27Packet:
28[<ptype>, <rxtx>, <pdata>]
4cace3b8 29
bf69977d 30This is the list of <ptype>s and their respective <pdata> values:
4cace3b8 31 - 'STARTBIT': The data is the (integer) value of the start bit (0/1).
0c7d5a56
UH
32 - 'DATA': This is always a tuple containing two items:
33 - 1st item: the (integer) value of the UART data. Valid values
6ffd71c1 34 range from 0 to 511 (as the data can be up to 9 bits in size).
0c7d5a56 35 - 2nd item: the list of individual data bits and their ss/es numbers.
4cace3b8
UH
36 - 'PARITYBIT': The data is the (integer) value of the parity bit (0/1).
37 - 'STOPBIT': The data is the (integer) value of the stop bit (0 or 1).
38 - 'INVALID STARTBIT': The data is the (integer) value of the start bit (0/1).
39 - 'INVALID STOPBIT': The data is the (integer) value of the stop bit (0/1).
40 - 'PARITY ERROR': The data is a tuple with two entries. The first one is
41 the expected parity value, the second is the actual parity value.
b025eab7 42 - 'BREAK': The data is always 0.
96170710
GS
43 - 'FRAME': The data is always a tuple containing two items: The (integer)
44 value of the UART data, and a boolean which reflects the validity of the
45 UART frame.
77c986b3 46 - 'IDLE': The data is always 0.
4cace3b8
UH
47
48The <rxtx> field is 0 for RX packets, 1 for TX packets.
49'''
50
97cca21f
UH
51# Used for differentiating between the two data directions.
52RX = 0
53TX = 1
54
f44d2db2
UH
55# Given a parity type to check (odd, even, zero, one), the value of the
56# parity bit, the value of the data, and the length of the data (5-9 bits,
57# usually 8 bits) return True if the parity is correct, False otherwise.
a7fc4c34 58# 'none' is _not_ allowed as value for 'parity_type'.
fb7a2f68 59def parity_ok(parity_type, parity_bit, data, data_bits):
f44d2db2 60
5ef0a979
GS
61 if parity_type == 'ignore':
62 return True
63
f44d2db2 64 # Handle easy cases first (parity bit is always 1 or 0).
a7fc4c34 65 if parity_type == 'zero':
f44d2db2 66 return parity_bit == 0
a7fc4c34 67 elif parity_type == 'one':
f44d2db2
UH
68 return parity_bit == 1
69
70 # Count number of 1 (high) bits in the data (and the parity bit itself!).
ac941bf9 71 ones = bin(data).count('1') + parity_bit
f44d2db2
UH
72
73 # Check for odd/even parity.
a7fc4c34 74 if parity_type == 'odd':
ac941bf9 75 return (ones % 2) == 1
a7fc4c34 76 elif parity_type == 'even':
ac941bf9 77 return (ones % 2) == 0
f44d2db2 78
21cda951
UH
79class SamplerateError(Exception):
80 pass
81
f04964c6
UH
82class ChannelError(Exception):
83 pass
84
c69e72bc
UH
85class Ann:
86 RX_DATA, TX_DATA, RX_START, TX_START, RX_PARITY_OK, TX_PARITY_OK, \
87 RX_PARITY_ERR, TX_PARITY_ERR, RX_STOP, TX_STOP, RX_WARN, TX_WARN, \
88 RX_DATA_BIT, TX_DATA_BIT, RX_BREAK, TX_BREAK, RX_PACKET, TX_PACKET = \
89 range(18)
90
f34113a3
UH
91class Bin:
92 RX, TX, RXTX = range(3)
93
677d597b 94class Decoder(srd.Decoder):
dcd3d626 95 api_version = 3
f44d2db2
UH
96 id = 'uart'
97 name = 'UART'
3d3da57d 98 longname = 'Universal Asynchronous Receiver/Transmitter'
a465436e 99 desc = 'Asynchronous, serial bus.'
f44d2db2
UH
100 license = 'gplv2+'
101 inputs = ['logic']
102 outputs = ['uart']
d6d8a8a4 103 tags = ['Embedded/industrial']
6a15597a 104 optional_channels = (
f44d2db2
UH
105 # Allow specifying only one of the signals, e.g. if only one data
106 # direction exists (or is relevant).
29ed0f4c
UH
107 {'id': 'rx', 'name': 'RX', 'desc': 'UART receive line'},
108 {'id': 'tx', 'name': 'TX', 'desc': 'UART transmit line'},
da9bcbd9 109 )
84c1c0b5
BV
110 options = (
111 {'id': 'baudrate', 'desc': 'Baud rate', 'default': 115200},
fb7a2f68 112 {'id': 'data_bits', 'desc': 'Data bits', 'default': 8,
84c1c0b5 113 'values': (5, 6, 7, 8, 9)},
fb7a2f68 114 {'id': 'parity', 'desc': 'Parity', 'default': 'none',
5ef0a979 115 'values': ('none', 'odd', 'even', 'zero', 'one', 'ignore')},
fb7a2f68 116 {'id': 'stop_bits', 'desc': 'Stop bits', 'default': 1.0,
84c1c0b5
BV
117 'values': (0.0, 0.5, 1.0, 1.5)},
118 {'id': 'bit_order', 'desc': 'Bit order', 'default': 'lsb-first',
119 'values': ('lsb-first', 'msb-first')},
ea36c198 120 {'id': 'format', 'desc': 'Data format', 'default': 'hex',
84c1c0b5 121 'values': ('ascii', 'dec', 'hex', 'oct', 'bin')},
1d764fd0 122 {'id': 'invert_rx', 'desc': 'Invert RX', 'default': 'no',
4eafeeef 123 'values': ('yes', 'no')},
1d764fd0 124 {'id': 'invert_tx', 'desc': 'Invert TX', 'default': 'no',
4eafeeef 125 'values': ('yes', 'no')},
bd50ceb3 126 {'id': 'sample_point', 'desc': 'Sample point (%)', 'default': 50},
fb7a2f68 127 {'id': 'rx_packet_delim', 'desc': 'RX packet delimiter (decimal)',
ab0522b8 128 'default': -1},
fb7a2f68 129 {'id': 'tx_packet_delim', 'desc': 'TX packet delimiter (decimal)',
ab0522b8 130 'default': -1},
0878d4ba
UH
131 {'id': 'rx_packet_len', 'desc': 'RX packet length', 'default': -1},
132 {'id': 'tx_packet_len', 'desc': 'TX packet length', 'default': -1},
84c1c0b5 133 )
da9bcbd9
BV
134 annotations = (
135 ('rx-data', 'RX data'),
136 ('tx-data', 'TX data'),
e144452b
UH
137 ('rx-start', 'RX start bit'),
138 ('tx-start', 'TX start bit'),
139 ('rx-parity-ok', 'RX parity OK bit'),
140 ('tx-parity-ok', 'TX parity OK bit'),
141 ('rx-parity-err', 'RX parity error bit'),
142 ('tx-parity-err', 'TX parity error bit'),
143 ('rx-stop', 'RX stop bit'),
144 ('tx-stop', 'TX stop bit'),
145 ('rx-warning', 'RX warning'),
146 ('tx-warning', 'TX warning'),
147 ('rx-data-bit', 'RX data bit'),
148 ('tx-data-bit', 'TX data bit'),
03a986ea
GS
149 ('rx-break', 'RX break'),
150 ('tx-break', 'TX break'),
ab0522b8
UH
151 ('rx-packet', 'RX packet'),
152 ('tx-packet', 'TX packet'),
da9bcbd9 153 )
2ce20a91 154 annotation_rows = (
c69e72bc 155 ('rx-data-bits', 'RX bits', (Ann.RX_DATA_BIT,)),
e144452b 156 ('rx-data-vals', 'RX data', (Ann.RX_DATA, Ann.RX_START, Ann.RX_PARITY_OK, Ann.RX_PARITY_ERR, Ann.RX_STOP)),
c69e72bc 157 ('rx-warnings', 'RX warnings', (Ann.RX_WARN,)),
e144452b 158 ('rx-breaks', 'RX breaks', (Ann.RX_BREAK,)),
c69e72bc
UH
159 ('rx-packets', 'RX packets', (Ann.RX_PACKET,)),
160 ('tx-data-bits', 'TX bits', (Ann.TX_DATA_BIT,)),
e144452b 161 ('tx-data-vals', 'TX data', (Ann.TX_DATA, Ann.TX_START, Ann.TX_PARITY_OK, Ann.TX_PARITY_ERR, Ann.TX_STOP)),
c69e72bc 162 ('tx-warnings', 'TX warnings', (Ann.TX_WARN,)),
e144452b 163 ('tx-breaks', 'TX breaks', (Ann.TX_BREAK,)),
c69e72bc 164 ('tx-packets', 'TX packets', (Ann.TX_PACKET,)),
2ce20a91 165 )
0bb7bcf3
UH
166 binary = (
167 ('rx', 'RX dump'),
168 ('tx', 'TX dump'),
169 ('rxtx', 'RX/TX dump'),
170 )
96a044da 171 idle_state = ['WAIT FOR START BIT', 'WAIT FOR START BIT']
f44d2db2 172
97cca21f 173 def putx(self, rxtx, data):
b5712ccb
PA
174 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
175 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_ann, data)
15ac6604 176
ab0522b8
UH
177 def putx_packet(self, rxtx, data):
178 s, halfbit = self.ss_packet[rxtx], self.bit_width / 2.0
179 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_ann, data)
180
4aedd5b8 181 def putpx(self, rxtx, data):
b5712ccb
PA
182 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
183 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_python, data)
4aedd5b8 184
15ac6604 185 def putg(self, data):
b5712ccb
PA
186 s, halfbit = self.samplenum, self.bit_width / 2.0
187 self.put(s - floor(halfbit), s + ceil(halfbit), self.out_ann, data)
15ac6604
UH
188
189 def putp(self, data):
b5712ccb
PA
190 s, halfbit = self.samplenum, self.bit_width / 2.0
191 self.put(s - floor(halfbit), s + ceil(halfbit), self.out_python, data)
97cca21f 192
03a986ea
GS
193 def putgse(self, ss, es, data):
194 self.put(ss, es, self.out_ann, data)
195
196 def putpse(self, ss, es, data):
197 self.put(ss, es, self.out_python, data)
198
0bb7bcf3 199 def putbin(self, rxtx, data):
b5712ccb 200 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
2f370328 201 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_binary, data)
0bb7bcf3 202
92b7b49f 203 def __init__(self):
10aeb8ea
GS
204 self.reset()
205
206 def reset(self):
f372d597 207 self.samplerate = None
97cca21f 208 self.frame_start = [-1, -1]
96170710 209 self.frame_valid = [None, None]
97cca21f
UH
210 self.startbit = [-1, -1]
211 self.cur_data_bit = [0, 0]
e9a3c933 212 self.datavalue = [0, 0]
1ccef461 213 self.paritybit = [-1, -1]
97cca21f
UH
214 self.stopbit1 = [-1, -1]
215 self.startsample = [-1, -1]
2b716038 216 self.state = ['WAIT FOR START BIT', 'WAIT FOR START BIT']
4aedd5b8 217 self.databits = [[], []]
03a986ea 218 self.break_start = [None, None]
ab0522b8
UH
219 self.packet_cache = [[], []]
220 self.ss_packet, self.es_packet = [None, None], [None, None]
d97440cc 221 self.idle_start = [None, None]
f44d2db2 222
f372d597 223 def start(self):
c515eed7 224 self.out_python = self.register(srd.OUTPUT_PYTHON)
2f370328 225 self.out_binary = self.register(srd.OUTPUT_BINARY)
be465111 226 self.out_ann = self.register(srd.OUTPUT_ANN)
fb7a2f68 227 self.bw = (self.options['data_bits'] + 7) // 8
f44d2db2 228
f372d597
BV
229 def metadata(self, key, value):
230 if key == srd.SRD_CONF_SAMPLERATE:
35b380b1 231 self.samplerate = value
f372d597
BV
232 # The width of one UART bit in number of samples.
233 self.bit_width = float(self.samplerate) / float(self.options['baudrate'])
f44d2db2 234
dcd3d626 235 def get_sample_point(self, rxtx, bitnum):
0b83932c 236 # Determine absolute sample number of a bit slot's sample point.
bd50ceb3
GS
237 # Counts for UART bits start from 0 (0 = start bit, 1..x = data,
238 # x+1 = parity bit (if used) or the first stop bit, and so on).
3d2d91e0 239 # Accept a position in the range of 1-99% of the full bit width.
bd50ceb3
GS
240 # Assume 50% for invalid input specs for backwards compatibility.
241 perc = self.options['sample_point'] or 50
242 if not perc or perc not in range(1, 100):
243 perc = 50
3d2d91e0
GS
244 perc /= 100.0
245 bitpos = (self.bit_width - 1) * perc
bd50ceb3 246 bitpos += self.frame_start[rxtx]
f44d2db2 247 bitpos += bitnum * self.bit_width
dcd3d626
GS
248 return bitpos
249
dcd3d626 250 def wait_for_start_bit(self, rxtx, signal):
f44d2db2 251 # Save the sample number where the start bit begins.
97cca21f 252 self.frame_start[rxtx] = self.samplenum
96170710 253 self.frame_valid[rxtx] = True
f44d2db2 254
42d4d65c 255 self.advance_state(rxtx, signal)
f44d2db2 256
97cca21f 257 def get_start_bit(self, rxtx, signal):
97cca21f 258 self.startbit[rxtx] = signal
f44d2db2 259
711d0602
GS
260 # The startbit must be 0. If not, we report an error and wait
261 # for the next start bit (assuming this one was spurious).
97cca21f 262 if self.startbit[rxtx] != 0:
15ac6604 263 self.putp(['INVALID STARTBIT', rxtx, self.startbit[rxtx]])
c69e72bc 264 self.putg([Ann.RX_WARN + rxtx, ['Frame error', 'Frame err', 'FE']])
96170710
GS
265 self.frame_valid[rxtx] = False
266 es = self.samplenum + ceil(self.bit_width / 2.0)
267 self.putpse(self.frame_start[rxtx], es, ['FRAME', rxtx,
268 (self.datavalue[rxtx], self.frame_valid[rxtx])])
42d4d65c 269 self.advance_state(rxtx, signal, fatal = True, idle = es)
711d0602 270 return
f44d2db2 271
97cca21f 272 self.cur_data_bit[rxtx] = 0
e9a3c933 273 self.datavalue[rxtx] = 0
97cca21f 274 self.startsample[rxtx] = -1
f44d2db2 275
15ac6604 276 self.putp(['STARTBIT', rxtx, self.startbit[rxtx]])
c69e72bc 277 self.putg([Ann.RX_START + rxtx, ['Start bit', 'Start', 'S']])
f44d2db2 278
42d4d65c 279 self.advance_state(rxtx, signal)
4bb42a91 280
ab0522b8 281 def handle_packet(self, rxtx):
0878d4ba 282 d = 'rx' if (rxtx == RX) else 'tx'
fb7a2f68 283 delim = self.options[d + '_packet_delim']
0878d4ba
UH
284 plen = self.options[d + '_packet_len']
285 if delim == -1 and plen == -1:
ab0522b8
UH
286 return
287
0878d4ba
UH
288 # Cache data values until we see the delimiter and/or the specified
289 # packet length has been reached (whichever happens first).
ab0522b8
UH
290 if len(self.packet_cache[rxtx]) == 0:
291 self.ss_packet[rxtx] = self.startsample[rxtx]
292 self.packet_cache[rxtx].append(self.datavalue[rxtx])
0878d4ba 293 if self.datavalue[rxtx] == delim or len(self.packet_cache[rxtx]) == plen:
ab0522b8
UH
294 self.es_packet[rxtx] = self.samplenum
295 s = ''
296 for b in self.packet_cache[rxtx]:
297 s += self.format_value(b)
298 if self.options['format'] != 'ascii':
299 s += ' '
300 if self.options['format'] != 'ascii' and s[-1] == ' ':
301 s = s[:-1] # Drop trailing space.
c69e72bc 302 self.putx_packet(rxtx, [Ann.RX_PACKET + rxtx, [s]])
ab0522b8
UH
303 self.packet_cache[rxtx] = []
304
97cca21f 305 def get_data_bits(self, rxtx, signal):
15ac6604 306 # Save the sample number of the middle of the first data bit.
97cca21f
UH
307 if self.startsample[rxtx] == -1:
308 self.startsample[rxtx] = self.samplenum
f44d2db2 309
c69e72bc 310 self.putg([Ann.RX_DATA_BIT + rxtx, ['%d' % signal]])
4aedd5b8
UH
311
312 # Store individual data bits and their start/end samplenumbers.
313 s, halfbit = self.samplenum, int(self.bit_width / 2)
314 self.databits[rxtx].append([signal, s - halfbit, s + halfbit])
315
f44d2db2 316 # Return here, unless we already received all data bits.
5e3c79fd 317 self.cur_data_bit[rxtx] += 1
fb7a2f68 318 if self.cur_data_bit[rxtx] < self.options['data_bits']:
1bb57ab8 319 return
f44d2db2 320
5166b031
GS
321 # Convert accumulated data bits to a data value.
322 bits = [b[0] for b in self.databits[rxtx]]
323 if self.options['bit_order'] == 'msb-first':
324 bits.reverse()
325 self.datavalue[rxtx] = bitpack(bits)
7cf698c5 326 self.putpx(rxtx, ['DATA', rxtx,
e9a3c933 327 (self.datavalue[rxtx], self.databits[rxtx])])
f44d2db2 328
6ffd71c1
GS
329 b = self.datavalue[rxtx]
330 formatted = self.format_value(b)
331 if formatted is not None:
332 self.putx(rxtx, [rxtx, [formatted]])
f44d2db2 333
98b89139 334 bdata = b.to_bytes(self.bw, byteorder='big')
f34113a3
UH
335 self.putbin(rxtx, [Bin.RX + rxtx, bdata])
336 self.putbin(rxtx, [Bin.RXTX, bdata])
0bb7bcf3 337
ab0522b8
UH
338 self.handle_packet(rxtx)
339
c1fc50b1 340 self.databits[rxtx] = []
4aedd5b8 341
42d4d65c 342 self.advance_state(rxtx, signal)
4bb42a91 343
6ffd71c1
GS
344 def format_value(self, v):
345 # Format value 'v' according to configured options.
346 # Reflects the user selected kind of representation, as well as
347 # the number of data bits in the UART frames.
348
fb7a2f68 349 fmt, bits = self.options['format'], self.options['data_bits']
6ffd71c1
GS
350
351 # Assume "is printable" for values from 32 to including 126,
352 # below 32 is "control" and thus not printable, above 127 is
353 # "not ASCII" in its strict sense, 127 (DEL) is not printable,
354 # fall back to hex representation for non-printables.
355 if fmt == 'ascii':
356 if v in range(32, 126 + 1):
357 return chr(v)
358 hexfmt = "[{:02X}]" if bits <= 8 else "[{:03X}]"
359 return hexfmt.format(v)
360
361 # Mere number to text conversion without prefix and padding
362 # for the "decimal" output format.
363 if fmt == 'dec':
364 return "{:d}".format(v)
365
366 # Padding with leading zeroes for hex/oct/bin formats, but
367 # without a prefix for density -- since the format is user
368 # specified, there is no ambiguity.
369 if fmt == 'hex':
370 digits = (bits + 4 - 1) // 4
371 fmtchar = "X"
372 elif fmt == 'oct':
373 digits = (bits + 3 - 1) // 3
374 fmtchar = "o"
375 elif fmt == 'bin':
376 digits = bits
377 fmtchar = "b"
378 else:
379 fmtchar = None
380 if fmtchar is not None:
381 fmt = "{{:0{:d}{:s}}}".format(digits, fmtchar)
382 return fmt.format(v)
383
384 return None
385
97cca21f 386 def get_parity_bit(self, rxtx, signal):
97cca21f 387 self.paritybit[rxtx] = signal
f44d2db2 388
fb7a2f68
UH
389 if parity_ok(self.options['parity'], self.paritybit[rxtx],
390 self.datavalue[rxtx], self.options['data_bits']):
15ac6604 391 self.putp(['PARITYBIT', rxtx, self.paritybit[rxtx]])
c69e72bc 392 self.putg([Ann.RX_PARITY_OK + rxtx, ['Parity bit', 'Parity', 'P']])
f44d2db2 393 else:
61132abd 394 # TODO: Return expected/actual parity values.
15ac6604 395 self.putp(['PARITY ERROR', rxtx, (0, 1)]) # FIXME: Dummy tuple...
c69e72bc 396 self.putg([Ann.RX_PARITY_ERR + rxtx, ['Parity error', 'Parity err', 'PE']])
96170710 397 self.frame_valid[rxtx] = False
f44d2db2 398
42d4d65c 399 self.advance_state(rxtx, signal)
4bb42a91 400
f44d2db2 401 # TODO: Currently only supports 1 stop bit.
97cca21f 402 def get_stop_bits(self, rxtx, signal):
97cca21f 403 self.stopbit1[rxtx] = signal
f44d2db2 404
5cc4b6a0 405 # Stop bits must be 1. If not, we report an error.
97cca21f 406 if self.stopbit1[rxtx] != 1:
15ac6604 407 self.putp(['INVALID STOPBIT', rxtx, self.stopbit1[rxtx]])
c69e72bc 408 self.putg([Ann.RX_WARN + rxtx, ['Frame error', 'Frame err', 'FE']])
96170710 409 self.frame_valid[rxtx] = False
f44d2db2 410
15ac6604 411 self.putp(['STOPBIT', rxtx, self.stopbit1[rxtx]])
b2ddb8ee 412 self.putg([Ann.RX_STOP + rxtx, ['Stop bit', 'Stop', 'T']])
f44d2db2 413
42d4d65c
GS
414 # Postprocess the UART frame
415 self.advance_state(rxtx, signal)
416
417 def advance_state(self, rxtx, signal = None, fatal = False, idle = None):
418 # Advances the protocol decoder's internal state for all regular
419 # UART frame inspection. Deals with either edges, sample points,
420 # or other .wait() conditions. Also gracefully handles extreme
421 # undersampling. Each turn takes one .wait() call which in turn
422 # corresponds to at least one sample. That is why as many state
423 # transitions are done here as required within a single call.
424 frame_end = self.frame_start[rxtx] + self.frame_len_sample_count
425 if idle is not None:
426 # When requested by the caller, start another (potential)
427 # IDLE period after the caller specified position.
428 self.idle_start[rxtx] = idle
429 if fatal:
430 # When requested by the caller, don't advance to the next
431 # UART frame's field, but to the start of the next START bit
432 # instead.
433 self.state[rxtx] = 'WAIT FOR START BIT'
434 return
435 # Advance to the next UART frame's field that we expect. Cope
436 # with absence of optional fields. Force scan for next IDLE
437 # after the (optional) STOP bit field, so that callers need
438 # not deal with optional field presence. Also handles the cases
439 # where the decoder navigates to edges which are not strictly
440 # a field's sampling point.
441 if self.state[rxtx] == 'WAIT FOR START BIT':
442 self.state[rxtx] = 'GET START BIT'
443 return
444 if self.state[rxtx] == 'GET START BIT':
445 self.state[rxtx] = 'GET DATA BITS'
446 return
447 if self.state[rxtx] == 'GET DATA BITS':
448 self.state[rxtx] = 'GET PARITY BIT'
449 if self.options['parity'] != 'none':
450 return
451 # FALLTHROUGH
452 if self.state[rxtx] == 'GET PARITY BIT':
453 self.state[rxtx] = 'GET STOP BITS'
454 if self.options['stop_bits']:
455 return
456 # FALLTHROUGH
457 if self.state[rxtx] == 'GET STOP BITS':
458 # Postprocess the previously received UART frame. Advance
459 # the read position to after the frame's last bit time. So
460 # that the start of the next START bit won't fall into the
461 # end of the previously received UART frame. This improves
462 # robustness in the presence of glitchy input data.
463 ss = self.frame_start[rxtx]
464 es = self.samplenum + ceil(self.bit_width / 2.0)
465 self.handle_frame(rxtx, ss, es)
466 self.state[rxtx] = 'WAIT FOR START BIT'
467 self.idle_start[rxtx] = frame_end
468 return
469 # Unhandled state, actually a programming error. Emit diagnostics?
470 self.state[rxtx] = 'WAIT FOR START BIT'
471
472 def handle_frame(self, rxtx, ss, es):
96170710 473 # Pass the complete UART frame to upper layers.
42d4d65c 474 self.putpse(ss, es, ['FRAME', rxtx,
96170710
GS
475 (self.datavalue[rxtx], self.frame_valid[rxtx])])
476
42d4d65c
GS
477 def handle_idle(self, rxtx, ss, es):
478 self.putpse(ss, es, ['IDLE', rxtx, 0])
4bb42a91 479
42d4d65c
GS
480 def handle_break(self, rxtx, ss, es):
481 self.putpse(ss, es, ['BREAK', rxtx, 0])
482 self.putgse(ss, es, [Ann.RX_BREAK + rxtx,
483 ['Break condition', 'Break', 'Brk', 'B']])
03a986ea
GS
484 self.state[rxtx] = 'WAIT FOR START BIT'
485
dcd3d626 486 def get_wait_cond(self, rxtx, inv):
0b83932c
UH
487 # Return condititions that are suitable for Decoder.wait(). Those
488 # conditions either match the falling edge of the START bit, or
489 # the sample point of the next bit time.
dcd3d626
GS
490 state = self.state[rxtx]
491 if state == 'WAIT FOR START BIT':
492 return {rxtx: 'r' if inv else 'f'}
493 if state == 'GET START BIT':
494 bitnum = 0
495 elif state == 'GET DATA BITS':
496 bitnum = 1 + self.cur_data_bit[rxtx]
497 elif state == 'GET PARITY BIT':
fb7a2f68 498 bitnum = 1 + self.options['data_bits']
dcd3d626 499 elif state == 'GET STOP BITS':
fb7a2f68
UH
500 bitnum = 1 + self.options['data_bits']
501 bitnum += 0 if self.options['parity'] == 'none' else 1
0b83932c
UH
502 want_num = ceil(self.get_sample_point(rxtx, bitnum))
503 return {'skip': want_num - self.samplenum}
dcd3d626 504
d97440cc
GS
505 def get_idle_cond(self, rxtx, inv):
506 # Return a condition that corresponds to the (expected) end of
507 # the next frame, assuming that it will be an "idle frame"
508 # (constant high input level for the frame's length).
509 if self.idle_start[rxtx] is None:
510 return None
511 end_of_frame = self.idle_start[rxtx] + self.frame_len_sample_count
512 if end_of_frame < self.samplenum:
513 return None
514 return {'skip': end_of_frame - self.samplenum}
515
0de2810f 516 def inspect_sample(self, rxtx, signal, inv):
0b83932c 517 # Inspect a sample returned by .wait() for the specified UART line.
0de2810f
GS
518 if inv:
519 signal = not signal
520
521 state = self.state[rxtx]
522 if state == 'WAIT FOR START BIT':
523 self.wait_for_start_bit(rxtx, signal)
524 elif state == 'GET START BIT':
525 self.get_start_bit(rxtx, signal)
526 elif state == 'GET DATA BITS':
527 self.get_data_bits(rxtx, signal)
528 elif state == 'GET PARITY BIT':
529 self.get_parity_bit(rxtx, signal)
530 elif state == 'GET STOP BITS':
531 self.get_stop_bits(rxtx, signal)
532
03a986ea
GS
533 def inspect_edge(self, rxtx, signal, inv):
534 # Inspect edges, independently from traffic, to detect break conditions.
535 if inv:
536 signal = not signal
537 if not signal:
538 # Signal went low. Start another interval.
539 self.break_start[rxtx] = self.samplenum
540 return
541 # Signal went high. Was there an extended period with low signal?
542 if self.break_start[rxtx] is None:
543 return
544 diff = self.samplenum - self.break_start[rxtx]
545 if diff >= self.break_min_sample_count:
42d4d65c
GS
546 ss, es = self.frame_start[rxtx], self.samplenum
547 self.handle_break(rxtx, ss, es)
03a986ea
GS
548 self.break_start[rxtx] = None
549
d97440cc
GS
550 def inspect_idle(self, rxtx, signal, inv):
551 # Check each edge and each period of stable input (either level).
552 # Can derive the "idle frame period has passed" condition.
553 if inv:
554 signal = not signal
555 if not signal:
556 # Low input, cease inspection.
557 self.idle_start[rxtx] = None
558 return
559 # High input, either just reached, or still stable.
560 if self.idle_start[rxtx] is None:
561 self.idle_start[rxtx] = self.samplenum
562 diff = self.samplenum - self.idle_start[rxtx]
563 if diff < self.frame_len_sample_count:
564 return
565 ss, es = self.idle_start[rxtx], self.samplenum
42d4d65c
GS
566 self.handle_idle(rxtx, ss, es)
567 self.idle_start[rxtx] = es
d97440cc 568
dcd3d626 569 def decode(self):
21cda951
UH
570 if not self.samplerate:
571 raise SamplerateError('Cannot decode without samplerate.')
2fcd7c22 572
dcd3d626 573 has_pin = [self.has_channel(ch) for ch in (RX, TX)]
81bb8e84
GS
574 if not True in has_pin:
575 raise ChannelError('Need at least one of TX or RX pins.')
dcd3d626
GS
576
577 opt = self.options
578 inv = [opt['invert_rx'] == 'yes', opt['invert_tx'] == 'yes']
03a986ea
GS
579 cond_data_idx = [None] * len(has_pin)
580
581 # Determine the number of samples for a complete frame's time span.
582 # A period of low signal (at least) that long is a break condition.
583 frame_samples = 1 # START
fb7a2f68
UH
584 frame_samples += self.options['data_bits']
585 frame_samples += 0 if self.options['parity'] == 'none' else 1
586 frame_samples += self.options['stop_bits']
03a986ea 587 frame_samples *= self.bit_width
d97440cc
GS
588 self.frame_len_sample_count = ceil(frame_samples)
589 self.break_min_sample_count = self.frame_len_sample_count
03a986ea 590 cond_edge_idx = [None] * len(has_pin)
d97440cc 591 cond_idle_idx = [None] * len(has_pin)
dcd3d626
GS
592
593 while True:
594 conds = []
595 if has_pin[RX]:
03a986ea 596 cond_data_idx[RX] = len(conds)
dcd3d626 597 conds.append(self.get_wait_cond(RX, inv[RX]))
03a986ea
GS
598 cond_edge_idx[RX] = len(conds)
599 conds.append({RX: 'e'})
d97440cc
GS
600 cond_idle_idx[RX] = None
601 idle_cond = self.get_idle_cond(RX, inv[RX])
602 if idle_cond:
603 cond_idle_idx[RX] = len(conds)
604 conds.append(idle_cond)
dcd3d626 605 if has_pin[TX]:
03a986ea 606 cond_data_idx[TX] = len(conds)
dcd3d626 607 conds.append(self.get_wait_cond(TX, inv[TX]))
03a986ea
GS
608 cond_edge_idx[TX] = len(conds)
609 conds.append({TX: 'e'})
d97440cc
GS
610 cond_idle_idx[TX] = None
611 idle_cond = self.get_idle_cond(TX, inv[TX])
612 if idle_cond:
613 cond_idle_idx[TX] = len(conds)
614 conds.append(idle_cond)
dcd3d626 615 (rx, tx) = self.wait(conds)
03a986ea 616 if cond_data_idx[RX] is not None and self.matched[cond_data_idx[RX]]:
0de2810f 617 self.inspect_sample(RX, rx, inv[RX])
03a986ea
GS
618 if cond_edge_idx[RX] is not None and self.matched[cond_edge_idx[RX]]:
619 self.inspect_edge(RX, rx, inv[RX])
d97440cc
GS
620 self.inspect_idle(RX, rx, inv[RX])
621 if cond_idle_idx[RX] is not None and self.matched[cond_idle_idx[RX]]:
622 self.inspect_idle(RX, rx, inv[RX])
03a986ea 623 if cond_data_idx[TX] is not None and self.matched[cond_data_idx[TX]]:
0de2810f 624 self.inspect_sample(TX, tx, inv[TX])
03a986ea
GS
625 if cond_edge_idx[TX] is not None and self.matched[cond_edge_idx[TX]]:
626 self.inspect_edge(TX, tx, inv[TX])
d97440cc
GS
627 self.inspect_idle(TX, tx, inv[TX])
628 if cond_idle_idx[TX] is not None and self.matched[cond_idle_idx[TX]]:
629 self.inspect_idle(TX, tx, inv[TX])