]> sigrok.org Git - sigrok-test.git/blobdiff - decoder/test/mdio/lan8720a_read_write_read.output
timing: Update tests, add some more.
[sigrok-test.git] / decoder / test / mdio / lan8720a_read_write_read.output
index 7d02e52ec8f8db42b35badc3bf53c1639205c35f..6199abab4c7522da5b7b4e659638c4a5f0cee6f6 100644 (file)
-491-498 mdio: mdio-bits: "0"
-484-491 mdio: mdio-bits: "0"
-477-484 mdio: mdio-bits: "0"
-470-477 mdio: mdio-bits: "0"
-463-470 mdio: mdio-bits: "0"
-456-463 mdio: mdio-bits: "0"
-449-456 mdio: mdio-bits: "0"
-442-449 mdio: mdio-bits: "0"
-435-442 mdio: mdio-bits: "0"
-428-435 mdio: mdio-bits: "0"
-421-428 mdio: mdio-bits: "0"
-414-421 mdio: mdio-bits: "0"
-407-414 mdio: mdio-bits: "1"
-400-407 mdio: mdio-bits: "1"
-393-400 mdio: mdio-bits: "0"
-386-393 mdio: mdio-bits: "0"
-379-386 mdio: mdio-bits: "0"
-372-379 mdio: mdio-bits: "1"
-365-372 mdio: mdio-bits: "0"
-358-365 mdio: mdio-bits: "0"
-351-358 mdio: mdio-bits: "0"
-344-351 mdio: mdio-bits: "0"
-337-344 mdio: mdio-bits: "0"
-330-337 mdio: mdio-bits: "1"
-323-330 mdio: mdio-bits: "0"
-316-323 mdio: mdio-bits: "0"
-309-316 mdio: mdio-bits: "0"
-302-309 mdio: mdio-bits: "0"
-295-302 mdio: mdio-bits: "0"
-288-295 mdio: mdio-bits: "1"
-281-288 mdio: mdio-bits: "1"
-274-281 mdio: mdio-bits: "0"
-267-274 mdio: mdio-bits: "1"
-260-267 mdio: mdio-bits: "1"
-253-260 mdio: mdio-bits: "1"
-246-253 mdio: mdio-bits: "1"
-239-246 mdio: mdio-bits: "1"
-232-239 mdio: mdio-bits: "1"
-225-232 mdio: mdio-bits: "1"
-218-225 mdio: mdio-bits: "1"
-211-218 mdio: mdio-bits: "1"
-204-211 mdio: mdio-bits: "1"
-197-204 mdio: mdio-bits: "1"
-190-197 mdio: mdio-bits: "1"
-183-190 mdio: mdio-bits: "1"
-176-183 mdio: mdio-bits: "1"
-169-176 mdio: mdio-bits: "1"
-162-169 mdio: mdio-bits: "1"
-155-162 mdio: mdio-bits: "1"
-148-155 mdio: mdio-bits: "1"
-141-148 mdio: mdio-bits: "1"
-134-141 mdio: mdio-bits: "1"
-127-134 mdio: mdio-bits: "1"
-120-127 mdio: mdio-bits: "1"
-113-120 mdio: mdio-bits: "1"
-106-113 mdio: mdio-bits: "1"
-99-106 mdio: mdio-bits: "1"
-92-99 mdio: mdio-bits: "1"
-85-92 mdio: mdio-bits: "1"
-78-85 mdio: mdio-bits: "1"
-71-78 mdio: mdio-bits: "1"
-64-71 mdio: mdio-bits: "1"
-57-64 mdio: mdio-bits: "1"
-50-57 mdio: mdio-bits: "1"
-50-274 mdio: mdio-data: "PREAMBLE"
-274-288 mdio: mdio-data: "START"
-288-302 mdio: mdio-data: "READ"
-302-337 mdio: mdio-data: "PHY: 1"
-337-372 mdio: mdio-data: "REG: 0"
-372-386 mdio: mdio-data: "TURNAROUND"
-386-498 mdio: mdio-data: "DATA: 3000"
-1139-1146 mdio: mdio-bits: "0"
-1132-1139 mdio: mdio-bits: "0"
-1125-1132 mdio: mdio-bits: "0"
-1118-1125 mdio: mdio-bits: "0"
-1111-1118 mdio: mdio-bits: "0"
-1104-1111 mdio: mdio-bits: "0"
-1097-1104 mdio: mdio-bits: "0"
-1090-1097 mdio: mdio-bits: "0"
-1083-1090 mdio: mdio-bits: "0"
-1076-1083 mdio: mdio-bits: "0"
-1069-1076 mdio: mdio-bits: "0"
-1062-1069 mdio: mdio-bits: "0"
-1055-1062 mdio: mdio-bits: "0"
-1048-1055 mdio: mdio-bits: "0"
-1041-1048 mdio: mdio-bits: "0"
-1034-1041 mdio: mdio-bits: "1"
-1027-1034 mdio: mdio-bits: "0"
-1020-1027 mdio: mdio-bits: "1"
-1013-1020 mdio: mdio-bits: "0"
-1006-1013 mdio: mdio-bits: "0"
-999-1006 mdio: mdio-bits: "0"
-992-999 mdio: mdio-bits: "0"
-985-992 mdio: mdio-bits: "0"
-978-985 mdio: mdio-bits: "1"
-971-978 mdio: mdio-bits: "0"
-964-971 mdio: mdio-bits: "0"
-957-964 mdio: mdio-bits: "0"
-950-957 mdio: mdio-bits: "0"
-943-950 mdio: mdio-bits: "1"
-936-943 mdio: mdio-bits: "0"
-929-936 mdio: mdio-bits: "1"
-922-929 mdio: mdio-bits: "0"
-915-922 mdio: mdio-bits: "1"
-908-915 mdio: mdio-bits: "1"
-901-908 mdio: mdio-bits: "1"
-894-901 mdio: mdio-bits: "1"
-887-894 mdio: mdio-bits: "1"
-880-887 mdio: mdio-bits: "1"
-873-880 mdio: mdio-bits: "1"
-866-873 mdio: mdio-bits: "1"
-859-866 mdio: mdio-bits: "1"
-852-859 mdio: mdio-bits: "1"
-845-852 mdio: mdio-bits: "1"
-838-845 mdio: mdio-bits: "1"
-831-838 mdio: mdio-bits: "1"
-824-831 mdio: mdio-bits: "1"
-817-824 mdio: mdio-bits: "1"
-810-817 mdio: mdio-bits: "1"
-803-810 mdio: mdio-bits: "1"
-796-803 mdio: mdio-bits: "1"
-789-796 mdio: mdio-bits: "1"
-782-789 mdio: mdio-bits: "1"
-775-782 mdio: mdio-bits: "1"
-768-775 mdio: mdio-bits: "1"
-761-768 mdio: mdio-bits: "1"
-754-761 mdio: mdio-bits: "1"
-747-754 mdio: mdio-bits: "1"
-740-747 mdio: mdio-bits: "1"
-733-740 mdio: mdio-bits: "1"
-726-733 mdio: mdio-bits: "1"
-719-726 mdio: mdio-bits: "1"
-712-719 mdio: mdio-bits: "1"
-705-712 mdio: mdio-bits: "1"
-698-705 mdio: mdio-bits: "1"
-698-922 mdio: mdio-data: "PREAMBLE"
-922-936 mdio: mdio-data: "START"
-936-950 mdio: mdio-data: "WRITE"
-950-985 mdio: mdio-data: "PHY: 1"
-985-1020 mdio: mdio-data: "REG: 0"
-1020-1034 mdio: mdio-data: "TURNAROUND"
-1034-1146 mdio: mdio-data: "DATA: 8000"
-1594-1601 mdio: mdio-bits: "0"
-1587-1594 mdio: mdio-bits: "0"
-1580-1587 mdio: mdio-bits: "0"
-1573-1580 mdio: mdio-bits: "0"
-1566-1573 mdio: mdio-bits: "0"
-1559-1566 mdio: mdio-bits: "0"
-1552-1559 mdio: mdio-bits: "0"
-1545-1552 mdio: mdio-bits: "0"
-1538-1545 mdio: mdio-bits: "0"
-1531-1538 mdio: mdio-bits: "0"
-1524-1531 mdio: mdio-bits: "0"
-1517-1524 mdio: mdio-bits: "0"
-1510-1517 mdio: mdio-bits: "0"
-1503-1510 mdio: mdio-bits: "0"
-1496-1503 mdio: mdio-bits: "0"
-1489-1496 mdio: mdio-bits: "1"
-1482-1489 mdio: mdio-bits: "0"
-1475-1482 mdio: mdio-bits: "1"
-1468-1475 mdio: mdio-bits: "0"
-1461-1468 mdio: mdio-bits: "0"
-1454-1461 mdio: mdio-bits: "0"
-1447-1454 mdio: mdio-bits: "0"
-1440-1447 mdio: mdio-bits: "0"
-1433-1440 mdio: mdio-bits: "1"
-1426-1433 mdio: mdio-bits: "0"
-1419-1426 mdio: mdio-bits: "0"
-1412-1419 mdio: mdio-bits: "0"
-1405-1412 mdio: mdio-bits: "0"
-1398-1405 mdio: mdio-bits: "0"
-1391-1398 mdio: mdio-bits: "1"
-1384-1391 mdio: mdio-bits: "1"
-1377-1384 mdio: mdio-bits: "0"
-1370-1377 mdio: mdio-bits: "1"
-1363-1370 mdio: mdio-bits: "1"
-1356-1363 mdio: mdio-bits: "1"
-1349-1356 mdio: mdio-bits: "1"
-1342-1349 mdio: mdio-bits: "1"
-1335-1342 mdio: mdio-bits: "1"
-1328-1335 mdio: mdio-bits: "1"
-1321-1328 mdio: mdio-bits: "1"
-1314-1321 mdio: mdio-bits: "1"
-1307-1314 mdio: mdio-bits: "1"
-1300-1307 mdio: mdio-bits: "1"
-1293-1300 mdio: mdio-bits: "1"
-1286-1293 mdio: mdio-bits: "1"
-1279-1286 mdio: mdio-bits: "1"
-1272-1279 mdio: mdio-bits: "1"
-1265-1272 mdio: mdio-bits: "1"
-1258-1265 mdio: mdio-bits: "1"
-1251-1258 mdio: mdio-bits: "1"
-1244-1251 mdio: mdio-bits: "1"
-1237-1244 mdio: mdio-bits: "1"
-1230-1237 mdio: mdio-bits: "1"
-1223-1230 mdio: mdio-bits: "1"
-1216-1223 mdio: mdio-bits: "1"
-1209-1216 mdio: mdio-bits: "1"
-1202-1209 mdio: mdio-bits: "1"
-1195-1202 mdio: mdio-bits: "1"
-1188-1195 mdio: mdio-bits: "1"
-1181-1188 mdio: mdio-bits: "1"
-1174-1181 mdio: mdio-bits: "1"
-1167-1174 mdio: mdio-bits: "1"
-1160-1167 mdio: mdio-bits: "1"
-1153-1160 mdio: mdio-bits: "1"
-1153-1377 mdio: mdio-data: "PREAMBLE"
-1377-1391 mdio: mdio-data: "START"
-1391-1405 mdio: mdio-data: "READ"
-1405-1440 mdio: mdio-data: "PHY: 1"
-1440-1475 mdio: mdio-data: "REG: 0"
-1475-1489 mdio: mdio-data: "TURNAROUND"
-1489-1601 mdio: mdio-data: "DATA: 8000"
+50-57 mdio: bit-val: "1"
+57-64 mdio: bit-val: "1"
+64-71 mdio: bit-val: "1"
+71-78 mdio: bit-val: "1"
+78-85 mdio: bit-val: "1"
+85-92 mdio: bit-val: "1"
+92-99 mdio: bit-val: "1"
+99-106 mdio: bit-val: "1"
+106-113 mdio: bit-val: "1"
+113-120 mdio: bit-val: "1"
+120-127 mdio: bit-val: "1"
+127-134 mdio: bit-val: "1"
+134-141 mdio: bit-val: "1"
+141-148 mdio: bit-val: "1"
+148-155 mdio: bit-val: "1"
+155-162 mdio: bit-val: "1"
+162-169 mdio: bit-val: "1"
+169-176 mdio: bit-val: "1"
+176-183 mdio: bit-val: "1"
+183-190 mdio: bit-val: "1"
+190-197 mdio: bit-val: "1"
+197-204 mdio: bit-val: "1"
+204-211 mdio: bit-val: "1"
+211-218 mdio: bit-val: "1"
+218-225 mdio: bit-val: "1"
+225-232 mdio: bit-val: "1"
+232-239 mdio: bit-val: "1"
+239-246 mdio: bit-val: "1"
+246-253 mdio: bit-val: "1"
+253-260 mdio: bit-val: "1"
+260-267 mdio: bit-val: "1"
+267-274 mdio: bit-val: "1"
+50-274 mdio: frame: "PRE #32" "PRE" "P"
+274-281 mdio: bit-val: "0"
+281-288 mdio: bit-val: "1"
+274-288 mdio: frame: "ST (Clause 22)" "ST 22" "ST" "S"
+288-295 mdio: bit-val: "1"
+295-302 mdio: bit-val: "0"
+288-302 mdio: frame: "OP: READ" "OP: R" "OP" "O"
+302-309 mdio: bit-val: "0"
+309-316 mdio: bit-val: "0"
+316-323 mdio: bit-val: "0"
+323-330 mdio: bit-val: "0"
+330-337 mdio: bit-val: "1"
+302-337 mdio: frame: "PHYAD: 01" "PHY" "P"
+337-344 mdio: bit-val: "0"
+344-351 mdio: bit-val: "0"
+351-358 mdio: bit-val: "0"
+358-365 mdio: bit-val: "0"
+365-372 mdio: bit-val: "0"
+337-372 mdio: frame: "REGAD: 00" "REG" "R"
+372-379 mdio: bit-val: "1"
+379-386 mdio: bit-val: "0"
+372-386 mdio: frame: "TURNAROUND" "TA" "T"
+386-393 mdio: bit-val: "0"
+393-400 mdio: bit-val: "0"
+400-407 mdio: bit-val: "1"
+407-414 mdio: bit-val: "1"
+414-421 mdio: bit-val: "0"
+421-428 mdio: bit-val: "0"
+428-435 mdio: bit-val: "0"
+435-442 mdio: bit-val: "0"
+442-449 mdio: bit-val: "0"
+449-456 mdio: bit-val: "0"
+456-463 mdio: bit-val: "0"
+463-470 mdio: bit-val: "0"
+470-477 mdio: bit-val: "0"
+477-484 mdio: bit-val: "0"
+484-491 mdio: bit-val: "0"
+491-498 mdio: bit-val: "0"
+386-498 mdio: frame: "DATA: 3000" "DATA" "D"
+50-498 mdio: decode: "READ:  3000 PHYAD: 01 REGAD: 00" "READ:  3000"
+698-705 mdio: bit-val: "1"
+705-712 mdio: bit-val: "1"
+712-719 mdio: bit-val: "1"
+719-726 mdio: bit-val: "1"
+726-733 mdio: bit-val: "1"
+733-740 mdio: bit-val: "1"
+740-747 mdio: bit-val: "1"
+747-754 mdio: bit-val: "1"
+754-761 mdio: bit-val: "1"
+761-768 mdio: bit-val: "1"
+768-775 mdio: bit-val: "1"
+775-782 mdio: bit-val: "1"
+782-789 mdio: bit-val: "1"
+789-796 mdio: bit-val: "1"
+796-803 mdio: bit-val: "1"
+803-810 mdio: bit-val: "1"
+810-817 mdio: bit-val: "1"
+817-824 mdio: bit-val: "1"
+824-831 mdio: bit-val: "1"
+831-838 mdio: bit-val: "1"
+838-845 mdio: bit-val: "1"
+845-852 mdio: bit-val: "1"
+852-859 mdio: bit-val: "1"
+859-866 mdio: bit-val: "1"
+866-873 mdio: bit-val: "1"
+873-880 mdio: bit-val: "1"
+880-887 mdio: bit-val: "1"
+887-894 mdio: bit-val: "1"
+894-901 mdio: bit-val: "1"
+901-908 mdio: bit-val: "1"
+908-915 mdio: bit-val: "1"
+915-922 mdio: bit-val: "1"
+698-922 mdio: frame: "PRE #32" "PRE" "P"
+922-929 mdio: bit-val: "0"
+929-936 mdio: bit-val: "1"
+922-936 mdio: frame: "ST (Clause 22)" "ST 22" "ST" "S"
+936-943 mdio: bit-val: "0"
+943-950 mdio: bit-val: "1"
+936-950 mdio: frame: "OP: WRITE" "OP: W" "OP" "O"
+950-957 mdio: bit-val: "0"
+957-964 mdio: bit-val: "0"
+964-971 mdio: bit-val: "0"
+971-978 mdio: bit-val: "0"
+978-985 mdio: bit-val: "1"
+950-985 mdio: frame: "PHYAD: 01" "PHY" "P"
+985-992 mdio: bit-val: "0"
+992-999 mdio: bit-val: "0"
+999-1006 mdio: bit-val: "0"
+1006-1013 mdio: bit-val: "0"
+1013-1020 mdio: bit-val: "0"
+985-1020 mdio: frame: "REGAD: 00" "REG" "R"
+1020-1027 mdio: bit-val: "1"
+1027-1034 mdio: bit-val: "0"
+1020-1034 mdio: frame: "TURNAROUND" "TA" "T"
+1034-1041 mdio: bit-val: "1"
+1041-1048 mdio: bit-val: "0"
+1048-1055 mdio: bit-val: "0"
+1055-1062 mdio: bit-val: "0"
+1062-1069 mdio: bit-val: "0"
+1069-1076 mdio: bit-val: "0"
+1076-1083 mdio: bit-val: "0"
+1083-1090 mdio: bit-val: "0"
+1090-1097 mdio: bit-val: "0"
+1097-1104 mdio: bit-val: "0"
+1104-1111 mdio: bit-val: "0"
+1111-1118 mdio: bit-val: "0"
+1118-1125 mdio: bit-val: "0"
+1125-1132 mdio: bit-val: "0"
+1132-1139 mdio: bit-val: "0"
+1139-1146 mdio: bit-val: "0"
+1034-1146 mdio: frame: "DATA: 8000" "DATA" "D"
+698-1146 mdio: decode: "WRITE: 8000 PHYAD: 01 REGAD: 00" "WRITE: 8000"
+1153-1160 mdio: bit-val: "1"
+1160-1167 mdio: bit-val: "1"
+1167-1174 mdio: bit-val: "1"
+1174-1181 mdio: bit-val: "1"
+1181-1188 mdio: bit-val: "1"
+1188-1195 mdio: bit-val: "1"
+1195-1202 mdio: bit-val: "1"
+1202-1209 mdio: bit-val: "1"
+1209-1216 mdio: bit-val: "1"
+1216-1223 mdio: bit-val: "1"
+1223-1230 mdio: bit-val: "1"
+1230-1237 mdio: bit-val: "1"
+1237-1244 mdio: bit-val: "1"
+1244-1251 mdio: bit-val: "1"
+1251-1258 mdio: bit-val: "1"
+1258-1265 mdio: bit-val: "1"
+1265-1272 mdio: bit-val: "1"
+1272-1279 mdio: bit-val: "1"
+1279-1286 mdio: bit-val: "1"
+1286-1293 mdio: bit-val: "1"
+1293-1300 mdio: bit-val: "1"
+1300-1307 mdio: bit-val: "1"
+1307-1314 mdio: bit-val: "1"
+1314-1321 mdio: bit-val: "1"
+1321-1328 mdio: bit-val: "1"
+1328-1335 mdio: bit-val: "1"
+1335-1342 mdio: bit-val: "1"
+1342-1349 mdio: bit-val: "1"
+1349-1356 mdio: bit-val: "1"
+1356-1363 mdio: bit-val: "1"
+1363-1370 mdio: bit-val: "1"
+1370-1377 mdio: bit-val: "1"
+1153-1377 mdio: frame: "PRE #32" "PRE" "P"
+1377-1384 mdio: bit-val: "0"
+1384-1391 mdio: bit-val: "1"
+1377-1391 mdio: frame: "ST (Clause 22)" "ST 22" "ST" "S"
+1391-1398 mdio: bit-val: "1"
+1398-1405 mdio: bit-val: "0"
+1391-1405 mdio: frame: "OP: READ" "OP: R" "OP" "O"
+1405-1412 mdio: bit-val: "0"
+1412-1419 mdio: bit-val: "0"
+1419-1426 mdio: bit-val: "0"
+1426-1433 mdio: bit-val: "0"
+1433-1440 mdio: bit-val: "1"
+1405-1440 mdio: frame: "PHYAD: 01" "PHY" "P"
+1440-1447 mdio: bit-val: "0"
+1447-1454 mdio: bit-val: "0"
+1454-1461 mdio: bit-val: "0"
+1461-1468 mdio: bit-val: "0"
+1468-1475 mdio: bit-val: "0"
+1440-1475 mdio: frame: "REGAD: 00" "REG" "R"
+1475-1482 mdio: bit-val: "1"
+1482-1489 mdio: bit-val: "0"
+1475-1489 mdio: frame: "TURNAROUND" "TA" "T"
+1489-1496 mdio: bit-val: "1"
+1496-1503 mdio: bit-val: "0"
+1503-1510 mdio: bit-val: "0"
+1510-1517 mdio: bit-val: "0"
+1517-1524 mdio: bit-val: "0"
+1524-1531 mdio: bit-val: "0"
+1531-1538 mdio: bit-val: "0"
+1538-1545 mdio: bit-val: "0"
+1545-1552 mdio: bit-val: "0"
+1552-1559 mdio: bit-val: "0"
+1559-1566 mdio: bit-val: "0"
+1566-1573 mdio: bit-val: "0"
+1573-1580 mdio: bit-val: "0"
+1580-1587 mdio: bit-val: "0"
+1587-1594 mdio: bit-val: "0"
+1594-1601 mdio: bit-val: "0"
+1489-1601 mdio: frame: "DATA: 8000" "DATA" "D"
+1153-1601 mdio: decode: "READ:  8000 PHYAD: 01 REGAD: 00" "READ:  8000"