]> sigrok.org Git - sigrok-dumps.git/blame - misc/vcd/vectors-integers.vcd
misc/vcd: introduce VCD example files with not yet supported features
[sigrok-dumps.git] / misc / vcd / vectors-integers.vcd
CommitLineData
775d871c
GS
1$comment
2 provided by user Cerpin via IRC on 2019-10-27
3 per download link http://0x0.st/zYZS.vcd
4 demonstrates: bit vectors, multi-bit integers,
5 nested modules, identical names for several VCD
6 variables, results in some 520 sigrok channels
7$end
8$date
9 Sat Oct 26 18:25:04 2019
10$end
11$version
12 Icarus Verilog
13$end
14$timescale
15 1s
16$end
17$scope module tb_uwam_psf2 $end
18$var wire 80 ! tssamp_o [79:0] $end
19$var reg 1 " bit_i $end
20$var reg 1 # clk_i $end
21$var reg 1 $ rst_i $end
22$var integer 32 % i [31:0] $end
23$scope module dut $end
24$var wire 1 " bit_i $end
25$var wire 1 # clk_i $end
26$var wire 1 $ rst_i $end
27$var wire 80 & tssamp_o [79:0] $end
28$var reg 6 ' period_count [5:0] $end
29$var integer 32 ( i [31:0] $end
30$scope begin cmpacc[0] $end
31$scope module psf_node $end
32$var wire 1 " bit_i $end
33$var wire 1 # clk_i $end
34$var wire 1 $ rst_i $end
35$var wire 8 ) tap_i [7:0] $end
36$var wire 8 * tapcoeff_i [7:0] $end
37$var reg 8 + samp [7:0] $end
38$var reg 8 , tap_o [7:0] $end
39$upscope $end
40$upscope $end
41$scope begin cmpacc[1] $end
42$scope module psf_node $end
43$var wire 1 " bit_i $end
44$var wire 1 # clk_i $end
45$var wire 1 $ rst_i $end
46$var wire 8 - tap_i [7:0] $end
47$var wire 8 . tapcoeff_i [7:0] $end
48$var reg 8 / samp [7:0] $end
49$var reg 8 0 tap_o [7:0] $end
50$upscope $end
51$upscope $end
52$scope begin cmpacc[2] $end
53$scope module psf_node $end
54$var wire 1 " bit_i $end
55$var wire 1 # clk_i $end
56$var wire 1 $ rst_i $end
57$var wire 8 1 tap_i [7:0] $end
58$var wire 8 2 tapcoeff_i [7:0] $end
59$var reg 8 3 samp [7:0] $end
60$var reg 8 4 tap_o [7:0] $end
61$upscope $end
62$upscope $end
63$scope begin cmpacc[3] $end
64$scope module psf_node $end
65$var wire 1 " bit_i $end
66$var wire 1 # clk_i $end
67$var wire 1 $ rst_i $end
68$var wire 8 5 tap_i [7:0] $end
69$var wire 8 6 tapcoeff_i [7:0] $end
70$var reg 8 7 samp [7:0] $end
71$var reg 8 8 tap_o [7:0] $end
72$upscope $end
73$upscope $end
74$scope begin cmpacc[4] $end
75$scope module psf_node $end
76$var wire 1 " bit_i $end
77$var wire 1 # clk_i $end
78$var wire 1 $ rst_i $end
79$var wire 8 9 tap_i [7:0] $end
80$var wire 8 : tapcoeff_i [7:0] $end
81$var reg 8 ; samp [7:0] $end
82$var reg 8 < tap_o [7:0] $end
83$upscope $end
84$upscope $end
85$scope begin cmpacc[5] $end
86$scope module psf_node $end
87$var wire 1 " bit_i $end
88$var wire 1 # clk_i $end
89$var wire 1 $ rst_i $end
90$var wire 8 = tap_i [7:0] $end
91$var wire 8 > tapcoeff_i [7:0] $end
92$var reg 8 ? samp [7:0] $end
93$var reg 8 @ tap_o [7:0] $end
94$upscope $end
95$upscope $end
96$scope begin cmpacc[6] $end
97$scope module psf_node $end
98$var wire 1 " bit_i $end
99$var wire 1 # clk_i $end
100$var wire 1 $ rst_i $end
101$var wire 8 A tap_i [7:0] $end
102$var wire 8 B tapcoeff_i [7:0] $end
103$var reg 8 C samp [7:0] $end
104$var reg 8 D tap_o [7:0] $end
105$upscope $end
106$upscope $end
107$scope begin cmpacc[7] $end
108$scope module psf_node $end
109$var wire 1 " bit_i $end
110$var wire 1 # clk_i $end
111$var wire 1 $ rst_i $end
112$var wire 8 E tap_i [7:0] $end
113$var wire 8 F tapcoeff_i [7:0] $end
114$var reg 8 G samp [7:0] $end
115$var reg 8 H tap_o [7:0] $end
116$upscope $end
117$upscope $end
118$scope begin cmpacc[8] $end
119$scope module psf_node $end
120$var wire 1 " bit_i $end
121$var wire 1 # clk_i $end
122$var wire 1 $ rst_i $end
123$var wire 8 I tap_i [7:0] $end
124$var wire 8 J tapcoeff_i [7:0] $end
125$var reg 8 K samp [7:0] $end
126$var reg 8 L tap_o [7:0] $end
127$upscope $end
128$upscope $end
129$scope begin cmpacc[9] $end
130$scope module psf_node $end
131$var wire 1 " bit_i $end
132$var wire 1 # clk_i $end
133$var wire 1 $ rst_i $end
134$var wire 8 M tap_i [7:0] $end
135$var wire 8 N tapcoeff_i [7:0] $end
136$var reg 8 O samp [7:0] $end
137$var reg 8 P tap_o [7:0] $end
138$upscope $end
139$upscope $end
140$upscope $end
141$upscope $end
142$enddefinitions $end
143#0
144$dumpvars
145bx P
146bx O
147bx N
148b0 M
149bx L
150bx K
151bx J
152b0 I
153bx H
154bx G
155bx F
156b0 E
157bx D
158bx C
159bx B
160b0 A
161bx @
162bx ?
163bx >
164b0 =
165bx <
166bx ;
167bx :
168b0 9
169bx 8
170bx 7
171bx 6
172b0 5
173bx 4
174bx 3
175bx 2
176b0 1
177bx 0
178bx /
179bx .
180b0 -
181bx ,
182bx +
183bx *
184b0 )
185b1010 (
186bx '
187bx &
188bx %
1891$
1900#
191x"
192bx !
193$end
194#2
195b1010 (
196b0 ,
197b0 0
198b0 4
199b0 8
200b0 <
201b0 @
202b0 D
203b0 H
204b0 L
205b0 !
206b0 &
207b0 P
208b100000 '
2091#
210#4
2110#
212#6
2131#
214#8
215b11111110 +
216b11111101 /
217b11111101 3
218b11111101 7
219b11111101 ;
220b11111110 ?
221b11111111 C
222b0 G
223b10000001 K
224b10000001 O
225b1111111 N
226b1111111 J
227b0 F
228b1 B
229b10 >
230b11 :
231b11 6
232b11 2
233b11 .
234b10 *
235b1010 (
2360"
237b0 %
2380$
2390#
240#10
241b11111111 O
242b10000010 G
243b10000100 C
244b10000110 ?
245b10000111 ;
246b10001000 7
247b10000111 3
248b10000110 /
249b10000011 +
250b1 N
251b1 I
252b1111110 F
253b1 E
254b1111100 B
255b1 A
256b1111010 >
257b1 =
258b1111001 :
259b1 9
260b1111000 6
261b1 5
262b1111001 2
263b1 1
264b1111010 .
265b1 -
266b1111101 *
267b1010 (
268b11111110 ,
269b11111101 0
270b11111101 4
271b11111101 8
272b11111101 <
273b11111110 @
274b11111111 D
275b10000001 L
276b10000001100000010000000011111111111111101111110111111101111111011111110111111110 !
277b10000001100000010000000011111111111111101111110111111101111111011111110111111110 &
278b10000001 P
279b10000 '
2801#
281#12
282b1111101 +
283b1111010 /
284b1111001 3
285b1111000 7
286b1111001 ;
287b1111010 ?
288b1111100 C
289b1111110 G
290b1111111 K
291b1 O
2921"
293b1 %
2940#
295#14
296b110 K
297b1100 G
298b10010 C
299b11000 ?
300b11110 ;
301b100011 7
302b100111 3
303b101010 /
304b101011 +
305b1 M
306b110 J
307b1100 F
308b0 E
309b10010 B
310b11000 >
311b11110 :
312b100011 6
313b100111 2
314b101010 .
315b0 -
316b101011 *
317b1 )
318b1010 (
319b1000 '
320b1 P
321b10000000 L
322b1111111 H
323b1111101 D
324b1111011 @
325b1111010 <
326b1111001 8
327b1111010 4
328b1111011 0
329b1100000000111111101111101011110110111101001111001011110100111101101111101 !
330b1100000000111111101111101011110110111101001111001011110100111101101111101 &
331b1111101 ,
3321#
333#16
334b11010101 +
335b11010110 /
336b11011001 3
337b11011101 7
338b11100010 ;
339b11101000 ?
340b11101110 C
341b11110100 G
342b11111010 K
343b11111111 O
3440"
345b10 %
3460#
347#18
348b11010110 O
349b11011001 K
350b11011101 G
351b11100010 C
352b11101110 ;
353b11110100 7
354b11111010 3
355b11111111 /
356b10000011 +
357b101010 N
358b100111 J
359b0 I
360b100011 F
361b1 E
362b11110 B
363b0 =
364b10010 :
365b1100 6
366b0 5
367b110 2
368b1 .
369b1 -
370b1111101 *
371b0 )
372b1010 (
373b11010110 ,
374b11010110 0
375b11011010 4
376b11011110 8
377b11100011 <
378b11101001 @
379b11101111 D
380b11110100 H
381b11111011 L
382b111110111111010011101111111010011110001111011110110110101101011011010110 !
383b111110111111010011101111111010011110001111011110110110101101011011010110 &
384b0 P
385b100 '
3861#
387#20
388b1111101 +
389b1 /
390b110 3
391b1100 7
392b10010 ;
393b11000 ?
394b11110 C
395b100011 G
396b100111 K
397b101010 O
3981"
399b11 %
4000#
401#22
402b1111010 O
403b1111001 K
404b1111000 G
405b1111001 C
406b1111010 ?
407b1111100 ;
408b1111110 7
409b1111111 3
410b10 +
411b1111010 N
412b1111001 J
413b1111000 F
414b0 E
415b1111001 B
416b1111010 >
417b1 =
418b1111100 :
419b1111110 6
420b1 5
421b1111111 2
422b0 -
423b10 *
424b1 )
425b1010 (
426b10 '
427b101011 P
428b100111 L
429b100100 H
430b11111 D
431b11000 @
432b10011 <
433b1100 8
434b111 4
435b10 0
436b101011001001110010010000011111000110000001001100001100000001110000001001111101 !
437b101011001001110010010000011111000110000001001100001100000001110000001001111101 &
438b1111101 ,
4391#
440#24
441b100 %
4420#
443#26
444b11 O
445b11 K
446b11 G
447b11 C
448b10 ?
449b1 ;
450b0 7
451b1111111 /
452b1111111 +
453b11 N
454b0 M
455b11 J
456b1 I
457b11 F
458b11 B
459b0 A
460b10 >
461b0 =
462b1 :
463b0 9
464b0 6
465b0 5
466b0 1
467b1111111 .
468b1 -
469b1111111 *
470b1010 (
471b11 ,
472b1 0
473b10000000 4
474b1111111 8
475b1111101 <
476b1111011 @
477b1111010 D
478b1111000 H
479b1111001 L
480b1111011011110010111100001111010011110110111110101111111100000000000000100000011 !
481b1111011011110010111100001111010011110110111110101111111100000000000000100000011 &
482b1111011 P
483b1 '
4841#
485#28
486b101 %
4870#
488#30
489b1111111 O
490b1111111 K
491b0 G
492b1 C
493b11 ;
494b11 7
495b11 3
496b11 /
497b10 +
498b1111111 N
499b1111111 J
500b0 I
501b0 F
502b1 E
503b1 B
504b11 :
505b11 6
506b11 2
507b11 .
508b0 -
509b10 *
510b0 )
511b1010 (
512b100000 '
513b11 P
514b100 L
515b11 H
516b11 D
517b10 @
518b1 <
519b0 8
520b1111111 4
521b10000000 0
522b11000001000000001100000011000000100000000100000000011111111000000010000000 !
523b11000001000000001100000011000000100000000100000000011111111000000010000000 &
524b10000000 ,
5251#
526#32
527b110 %
5280#
529#34
530b1 O
531b1111110 G
532b1111100 C
533b1111010 ?
534b1111001 ;
535b1111000 7
536b1111001 3
537b1111010 /
538b1111101 +
539b1 N
540b1 M
541b1 I
542b1111110 F
543b0 E
544b1111100 B
545b1111010 >
546b1111001 :
547b1111000 6
548b1111001 2
549b1111010 .
550b1 -
551b1111101 *
552b1010 (
553b10 ,
554b11 0
555b11 4
556b11 8
557b11 <
558b1 D
559b1 H
560b1111111 L
561b1111111011111110000000100000001000000100000001100000011000000110000001100000010 !
562b1111111011111110000000100000001000000100000001100000011000000110000001100000010 &
563b1111111 P
564b10000 '
5651#
566#36
567b111 %
5680#
569#38
570b110 K
571b1100 G
572b10010 C
573b11000 ?
574b11110 ;
575b100011 7
576b100111 3
577b101010 /
578b101011 +
579b110 J
580b1100 F
581b10010 B
582b1 A
583b11000 >
584b1 =
585b11110 :
586b1 9
587b100011 6
588b1 5
589b100111 2
590b1 1
591b101010 .
592b0 -
593b101011 *
594b1 )
595b1010 (
596b1000 '
597b10 P
598b10000000 L
599b1111110 H
600b1111100 D
601b1111010 @
602b1111001 <
603b1111000 8
604b1111001 4
605b1111011 0
606b10100000000111111001111100011110100111100101111000011110010111101101111101 !
607b10100000000111111001111100011110100111100101111000011110010111101101111101 &
608b1111101 ,
6091#
610#40
611b11010101 +
612b11010110 /
613b11011001 3
614b11011101 7
615b11100010 ;
616b11101000 ?
617b11101110 C
618b11110100 G
619b11111010 K
620b11111111 O
6210"
622b1000 %
6230#
624#42
625b11010110 O
626b11011001 K
627b11011101 G
628b11100010 C
629b11101110 ;
630b11110100 7
631b11111010 3
632b11111111 /
633b10000011 +
634b101010 N
635b100111 J
636b0 I
637b100011 F
638b1 E
639b11110 B
640b0 =
641b10010 :
642b1100 6
643b0 5
644b110 2
645b1 .
646b1 -
647b1111101 *
648b0 )
649b1010 (
650b11010110 ,
651b11010110 0
652b11011010 4
653b11011110 8
654b11100011 <
655b11101001 @
656b11101111 D
657b11110100 H
658b11111011 L
659b111110111111010011101111111010011110001111011110110110101101011011010110 !
660b111110111111010011101111111010011110001111011110110110101101011011010110 &
661b0 P
662b100 '
6631#
664#44
665b1001 %
6660#
667#46
668b10000110 O
669b10000111 K
670b10001000 G
671b10000111 C
672b10000110 ?
673b10000100 ;
674b10000010 7
675b10000001 3
676b11111110 +
677b1111010 N
678b0 M
679b1111001 J
680b1111000 F
681b1111001 B
682b0 A
683b1111010 >
684b1111100 :
685b0 9
686b1111110 6
687b1111111 2
688b0 1
689b10 *
690b1 )
691b1010 (
692b10 '
693b11010111 P
694b11011001 L
695b11011110 H
696b11100011 D
697b11101000 @
698b11101111 <
699b11110100 8
700b11111011 4
701b0 0
702b11010111110110011101111011100011111010001110111111110100111110110000000010000011 !
703b11010111110110011101111011100011111010001110111111110100111110110000000010000011 &
704b10000011 ,
7051#
706#48
707b1010 %
7080#
709#50
710b11111101 O
711b11111101 K
712b11111101 G
713b11111101 C
714b11111110 ?
715b11111111 ;
716b0 7
717b10000001 /
718b10000001 +
719b11 N
720b11 J
721b11 F
722b11 B
723b1 A
724b10 >
725b1 =
726b1 :
727b1 9
728b0 6
729b1 5
730b1 1
731b1111111 .
732b1111111 *
733b1010 (
734b11111111 ,
735b10000001 4
736b10000010 8
737b10000100 <
738b10000110 @
739b10000111 D
740b10001001 H
741b10000111 L
742b10000110100001111000100110000111100001101000010010000010100000010000000011111111 !
743b10000110100001111000100110000111100001101000010010000010100000010000000011111111 &
744b10000110 P
745b1 '
7461#
747#52
748b1011 %
7490#
750#54
751b10000001 O
752b10000001 K
753b0 G
754b11111111 C
755b11111101 ;
756b11111101 7
757b11111101 3
758b11111101 /
759b11111110 +
760b1111111 N
761b1 M
762b1111111 J
763b0 F
764b1 B
765b0 A
766b0 =
767b11 :
768b0 9
769b11 6
770b0 5
771b11 2
772b0 1
773b11 .
774b10 *
775b0 )
776b1010 (
777b100000 '
778b11111101 P
779b11111101 L
780b11111110 H
781b11111110 D
782b11111111 @
783b0 <
784b1 8
785b10000010 4
786b10000010 0
787b11111101111111011111111011111110111111110000000000000001100000101000001010000010 !
788b11111101111111011111111011111110111111110000000000000001100000101000001010000010 &
789b10000010 ,
7901#
791#56
792b10 +
793b11 /
794b11 3
795b11 7
796b11 ;
797b10 ?
798b1 C
799b1111111 K
800b1111111 O
8011"
802b1100 %
8030#
804#58
805b1 O
806b1111110 G
807b1111100 C
808b1111010 ?
809b1111001 ;
810b1111000 7
811b1111001 3
812b1111010 /
813b1111101 +
814b1 N
815b0 M
816b1111110 F
817b0 E
818b1111100 B
819b1111010 >
820b1111001 :
821b1111000 6
822b1111001 2
823b1111010 .
824b1111101 *
825b1010 (
826b10 ,
827b100 0
828b11 4
829b11 8
830b11 <
831b10 @
832b1 D
833b1 H
834b1111111 L
835b10000000011111110000000100000001000000100000001100000011000000110000010000000010 !
836b10000000011111110000000100000001000000100000001100000011000000110000010000000010 &
837b10000000 P
838b10000 '
8391#
840#60
841b1101 %
8420#
843#62
844b110 K
845b1100 G
846b10010 C
847b11000 ?
848b11110 ;
849b100011 7
850b100111 3
851b101010 /
852b101011 +
853b1 M
854b110 J
855b1 I
856b1100 F
857b10010 B
858b1 A
859b11000 >
860b1 =
861b11110 :
862b1 9
863b100011 6
864b1 5
865b100111 2
866b1 1
867b101010 .
868b0 -
869b101011 *
870b1 )
871b1010 (
872b1000 '
873b1 P
874b1111110 H
875b1111100 D
876b1111010 @
877b1111001 <
878b1111000 8
879b1111001 4
880b1111011 0
881b1011111110111111001111100011110100111100101111000011110010111101101111101 !
882b1011111110111111001111100011110100111100101111000011110010111101101111101 &
883b1111101 ,
8841#
885#64
886b11010101 +
887b11010110 /
888b11011001 3
889b11011101 7
890b11100010 ;
891b11101000 ?
892b11101110 C
893b11110100 G
894b11111010 K
895b11111111 O
8960"
897b1110 %
8980#
899#66
900b11010110 O
901b11011001 K
902b11011101 G
903b11100010 C
904b11101110 ;
905b11110100 7
906b11111010 3
907b11111111 /
908b10000011 +
909b101010 N
910b100111 J
911b0 I
912b100011 F
913b1 E
914b11110 B
915b0 =
916b10010 :
917b1100 6
918b0 5
919b110 2
920b1 .
921b1 -
922b1111101 *
923b0 )
924b1010 (
925b11010110 ,
926b11010110 0
927b11011010 4
928b11011110 8
929b11100011 <
930b11101001 @
931b11101111 D
932b11110100 H
933b11111011 L
934b111110111111010011101111111010011110001111011110110110101101011011010110 !
935b111110111111010011101111111010011110001111011110110110101101011011010110 &
936b0 P
937b100 '
9381#
939#68
940b1111 %
9410#
942#70
943b10000110 O
944b10000111 K
945b10001000 G
946b10000111 C
947b10000110 ?
948b10000100 ;
949b10000010 7
950b10000001 3
951b11111110 +
952b1111010 N
953b0 M
954b1111001 J
955b1111000 F
956b1111001 B
957b0 A
958b1111010 >
959b1111100 :
960b0 9
961b1111110 6
962b1111111 2
963b0 1
964b10 *
965b1 )
966b1010 (
967b10 '
968b11010111 P
969b11011001 L
970b11011110 H
971b11100011 D
972b11101000 @
973b11101111 <
974b11110100 8
975b11111011 4
976b0 0
977b11010111110110011101111011100011111010001110111111110100111110110000000010000011 !
978b11010111110110011101111011100011111010001110111111110100111110110000000010000011 &
979b10000011 ,
9801#
981#72
982b10000 %
9830#
984#74
985b11111101 O
986b11111101 K
987b11111101 G
988b11111101 C
989b11111110 ?
990b11111111 ;
991b0 7
992b10000001 /
993b10000001 +
994b11 N
995b11 J
996b11 F
997b11 B
998b1 A
999b10 >
1000b1 =
1001b1 :
1002b1 9
1003b0 6
1004b1 5
1005b1 1
1006b1111111 .
1007b1111111 *
1008b1010 (
1009b11111111 ,
1010b10000001 4
1011b10000010 8
1012b10000100 <
1013b10000110 @
1014b10000111 D
1015b10001001 H
1016b10000111 L
1017b10000110100001111000100110000111100001101000010010000010100000010000000011111111 !
1018b10000110100001111000100110000111100001101000010010000010100000010000000011111111 &
1019b10000110 P
1020b1 '
10211#
1022#76
1023b10001 %
10240#
1025#78
1026b10000001 O
1027b10000001 K
1028b0 G
1029b11111111 C
1030b11111101 ;
1031b11111101 7
1032b11111101 3
1033b11111101 /
1034b11111110 +
1035b1111111 N
1036b1 M
1037b1111111 J
1038b0 F
1039b1 B
1040b0 A
1041b0 =
1042b11 :
1043b0 9
1044b11 6
1045b0 5
1046b11 2
1047b0 1
1048b11 .
1049b10 *
1050b0 )
1051b1010 (
1052b100000 '
1053b11111101 P
1054b11111101 L
1055b11111110 H
1056b11111110 D
1057b11111111 @
1058b0 <
1059b1 8
1060b10000010 4
1061b10000010 0
1062b11111101111111011111111011111110111111110000000000000001100000101000001010000010 !
1063b11111101111111011111111011111110111111110000000000000001100000101000001010000010 &
1064b10000010 ,
10651#
1066#80
1067b10 +
1068b11 /
1069b11 3
1070b11 7
1071b11 ;
1072b10 ?
1073b1 C
1074b1111111 K
1075b1111111 O
10761"
1077b10010 %
10780#
1079#82
1080b1 O
1081b1111110 G
1082b1111100 C
1083b1111010 ?
1084b1111001 ;
1085b1111000 7
1086b1111001 3
1087b1111010 /
1088b1111101 +
1089b1 N
1090b0 M
1091b1111110 F
1092b0 E
1093b1111100 B
1094b1111010 >
1095b1111001 :
1096b1111000 6
1097b1111001 2
1098b1111010 .
1099b1111101 *
1100b1010 (
1101b10 ,
1102b100 0
1103b11 4
1104b11 8
1105b11 <
1106b10 @
1107b1 D
1108b1 H
1109b1111111 L
1110b10000000011111110000000100000001000000100000001100000011000000110000010000000010 !
1111b10000000011111110000000100000001000000100000001100000011000000110000010000000010 &
1112b10000000 P
1113b10000 '
11141#
1115#84
1116b10011 %
11170#
1118#86
1119b110 K
1120b1100 G
1121b10010 C
1122b11000 ?
1123b11110 ;
1124b100011 7
1125b100111 3
1126b101010 /
1127b101011 +
1128b1 M
1129b110 J
1130b1 I
1131b1100 F
1132b10010 B
1133b1 A
1134b11000 >
1135b1 =
1136b11110 :
1137b1 9
1138b100011 6
1139b1 5
1140b100111 2
1141b1 1
1142b101010 .
1143b0 -
1144b101011 *
1145b1 )
1146b1010 (
1147b1000 '
1148b1 P
1149b1111110 H
1150b1111100 D
1151b1111010 @
1152b1111001 <
1153b1111000 8
1154b1111001 4
1155b1111011 0
1156b1011111110111111001111100011110100111100101111000011110010111101101111101 !
1157b1011111110111111001111100011110100111100101111000011110010111101101111101 &
1158b1111101 ,
11591#
1160#88
1161b10100 %
11620#