]> sigrok.org Git - libsigrokdecode.git/blame - decoders/spi/pd.py
spi: Drop temporary hack for combined MISO/MOSI out.
[libsigrokdecode.git] / decoders / spi / pd.py
CommitLineData
6eb87578 1##
50bd5d25 2## This file is part of the libsigrokdecode project.
6eb87578
GM
3##
4## Copyright (C) 2011 Gareth McMullin <gareth@blacksphere.co.nz>
3eda7779 5## Copyright (C) 2012-2013 Uwe Hermann <uwe@hermann-uwe.de>
6eb87578
GM
6##
7## This program is free software; you can redistribute it and/or modify
8## it under the terms of the GNU General Public License as published by
9## the Free Software Foundation; either version 2 of the License, or
10## (at your option) any later version.
11##
12## This program is distributed in the hope that it will be useful,
13## but WITHOUT ANY WARRANTY; without even the implied warranty of
14## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
15## GNU General Public License for more details.
16##
17## You should have received a copy of the GNU General Public License
18## along with this program; if not, write to the Free Software
19## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
20##
ad2dc0de 21
677d597b 22import sigrokdecode as srd
67e847fd 23
0702e0cf
UH
24'''
25Protocol output format:
26
27SPI packet:
28[<cmd>, <data1>, <data2>]
29
30Commands:
31 - 'DATA': <data1> contains the MISO data, <data2> contains the MOSI data.
32 The data is _usually_ 8 bits (but can also be fewer or more bits).
33 Both data items are Python numbers, not strings.
34 - 'CS CHANGE': <data1> is the old CS# pin value, <data2> is the new value.
35 Both data items are Python numbers (0/1), not strings.
36
37Examples:
38 ['CS-CHANGE', 1, 0]
39 ['DATA', 0xff, 0x3a]
40 ['DATA', 0x65, 0x00]
41 ['CS-CHANGE', 0, 1]
42'''
43
8a7ce2a3 44# Key: (CPOL, CPHA). Value: SPI mode.
94bbdb9a
UH
45# Clock polarity (CPOL) = 0/1: Clock is low/high when inactive.
46# Clock phase (CPHA) = 0/1: Data is valid on the leading/trailing clock edge.
c94c8c91
UH
47spi_mode = {
48 (0, 0): 0, # Mode 0
49 (0, 1): 1, # Mode 1
50 (1, 0): 2, # Mode 2
51 (1, 1): 3, # Mode 3
52}
53
677d597b 54class Decoder(srd.Decoder):
a2c2afd9 55 api_version = 1
67e847fd 56 id = 'spi'
2b7d0e2b 57 name = 'SPI'
3d3da57d 58 longname = 'Serial Peripheral Interface'
a465436e 59 desc = 'Full-duplex, synchronous, serial bus.'
6eb87578
GM
60 license = 'gplv2+'
61 inputs = ['logic']
62 outputs = ['spi']
6b5b91d2 63 probes = [
4e570fa9
UH
64 {'id': 'miso', 'name': 'MISO',
65 'desc': 'SPI MISO line (Master in, slave out)'},
decde15e
UH
66 {'id': 'mosi', 'name': 'MOSI',
67 'desc': 'SPI MOSI line (Master out, slave in)'},
6b5b91d2
UH
68 {'id': 'sck', 'name': 'CLK', 'desc': 'SPI clock line'},
69 ]
efa64173
UH
70 optional_probes = [
71 {'id': 'cs', 'name': 'CS#', 'desc': 'SPI chip-select line'},
72 ]
238b4080 73 options = {
94bbdb9a
UH
74 'cs_polarity': ['CS# polarity', 'active-low'],
75 'cpol': ['Clock polarity', 0],
76 'cpha': ['Clock phase', 0],
77 'bitorder': ['Bit order within the SPI data', 'msb-first'],
c94c8c91 78 'wordsize': ['Word size of SPI data', 8], # 1-64?
3eda7779 79 'format': ['Data format', 'hex'],
238b4080 80 }
b1bb5eed 81 annotations = [
9f2f42c0
UH
82 ['miso-data', 'MISO SPI data'],
83 ['mosi-data', 'MOSI SPI data'],
84 ['warnings', 'Human-readable warnings'],
b1bb5eed 85 ]
6eb87578 86
3643fc3f 87 def __init__(self):
8a3c8792 88 self.samplerate = None
c66baa8c 89 self.oldsck = 1
a10bfc48 90 self.bitcount = 0
4917bb31 91 self.mosidata = 0
d6bace96 92 self.misodata = 0
ec0afe27 93 self.startsample = -1
d6bace96 94 self.samplenum = -1
01329e88 95 self.cs_was_deasserted_during_data_word = 0
3e3c0330 96 self.oldcs = -1
2fcd7c22 97 self.oldpins = None
191ec8c5 98 self.state = 'IDLE'
6eb87578 99
8a3c8792
BV
100 def metadata(self, key, value):
101 if key == srd.SRD_CONF_SAMPLERATE:
102 self.samplerate = value
103
8915b346 104 def start(self):
be465111
BV
105 self.out_proto = self.register(srd.OUTPUT_PYTHON)
106 self.out_ann = self.register(srd.OUTPUT_ANN)
8a3c8792
BV
107 self.out_bitrate = self.register(srd.OUTPUT_META,
108 meta=(int, 'Bitrate', 'Bitrate during transfers'))
3643fc3f 109
ec0afe27
UH
110 def putpw(self, data):
111 self.put(self.startsample, self.samplenum, self.out_proto, data)
112
113 def putw(self, data):
114 self.put(self.startsample, self.samplenum, self.out_ann, data)
115
191ec8c5
UH
116 def handle_bit(self, miso, mosi, sck, cs):
117 # If this is the first bit, save its sample number.
118 if self.bitcount == 0:
119 self.startsample = self.samplenum
efa64173
UH
120 if self.have_cs:
121 active_low = (self.options['cs_polarity'] == 'active-low')
122 deasserted = cs if active_low else not cs
123 if deasserted:
124 self.cs_was_deasserted_during_data_word = 1
2fcd7c22 125
191ec8c5 126 ws = self.options['wordsize']
d6bace96 127
191ec8c5
UH
128 # Receive MOSI bit into our shift register.
129 if self.options['bitorder'] == 'msb-first':
130 self.mosidata |= mosi << (ws - 1 - self.bitcount)
131 else:
132 self.mosidata |= mosi << self.bitcount
3e3c0330 133
191ec8c5
UH
134 # Receive MISO bit into our shift register.
135 if self.options['bitorder'] == 'msb-first':
136 self.misodata |= miso << (ws - 1 - self.bitcount)
137 else:
138 self.misodata |= miso << self.bitcount
c94c8c91 139
191ec8c5 140 self.bitcount += 1
1ea831e9 141
191ec8c5
UH
142 # Continue to receive if not enough bits were received, yet.
143 if self.bitcount != ws:
144 return
b1bb5eed 145
8a3c8792 146 # Pass MOSI and MISO to the next PD up the stack
191ec8c5 147 self.putpw(['DATA', self.mosidata, self.misodata])
8a3c8792
BV
148
149 # Annotations
c1411010
UH
150 self.putw([0, ['%02X' % self.misodata]])
151 self.putw([1, ['%02X' % self.mosidata]])
b1bb5eed 152
8a3c8792
BV
153 # Meta bitrate
154 elapsed = 1 / float(self.samplerate) * (self.samplenum - self.startsample + 1)
155 bitrate = int(1 / elapsed * self.options['wordsize'])
156 self.put(self.startsample, self.samplenum, self.out_bitrate, bitrate)
157
191ec8c5 158 if self.cs_was_deasserted_during_data_word:
c1411010 159 self.putw([2, ['CS# was deasserted during this data word!']])
191ec8c5
UH
160
161 # Reset decoder state.
efa64173 162 self.mosidata = self.misodata = self.bitcount = 0
191ec8c5 163
191ec8c5 164 def find_clk_edge(self, miso, mosi, sck, cs):
efa64173 165 if self.have_cs and self.oldcs != cs:
191ec8c5
UH
166 # Send all CS# pin value changes.
167 self.put(self.samplenum, self.samplenum, self.out_proto,
168 ['CS-CHANGE', self.oldcs, cs])
169 self.oldcs = cs
efa64173
UH
170 # Reset decoder state when CS# changes (and the CS# pin is used).
171 self.mosidata = self.misodata = self.bitcount= 0
191ec8c5
UH
172
173 # Ignore sample if the clock pin hasn't changed.
174 if sck == self.oldsck:
175 return
b1bb5eed 176
191ec8c5 177 self.oldsck = sck
b1bb5eed 178
191ec8c5
UH
179 # Sample data on rising/falling clock edge (depends on mode).
180 mode = spi_mode[self.options['cpol'], self.options['cpha']]
181 if mode == 0 and sck == 0: # Sample on rising clock edge
182 return
183 elif mode == 1 and sck == 1: # Sample on falling clock edge
184 return
185 elif mode == 2 and sck == 1: # Sample on falling clock edge
186 return
187 elif mode == 3 and sck == 0: # Sample on rising clock edge
188 return
189
190 # Found the correct clock edge, now get the SPI bit(s).
191 self.handle_bit(miso, mosi, sck, cs)
192
193 def decode(self, ss, es, data):
8a3c8792
BV
194 if self.samplerate is None:
195 raise Exception("Cannot decode without samplerate.")
191ec8c5
UH
196 # TODO: Either MISO or MOSI could be optional. CS# is optional.
197 for (self.samplenum, pins) in data:
01329e88 198
191ec8c5
UH
199 # Ignore identical samples early on (for performance reasons).
200 if self.oldpins == pins:
201 continue
202 self.oldpins, (miso, mosi, sck, cs) = pins, pins
efa64173 203 self.have_cs = (cs in (0, 1))
b1bb5eed 204
191ec8c5
UH
205 # State machine.
206 if self.state == 'IDLE':
207 self.find_clk_edge(miso, mosi, sck, cs)
208 else:
209 raise Exception('Invalid state: %s' % self.state)
ad2dc0de 210