]> sigrok.org Git - libsigrokdecode.git/history - decoders/jtag
srd: JTAG: The TRST# (and SRST#) signals are optional.
[libsigrokdecode.git] / decoders / jtag /
2012-04-28 Uwe Hermannsrd: JTAG: The TRST# (and SRST#) signals are optional.
2012-04-28 Uwe Hermannsrd: jtag: Various bugfixes.
2012-04-26 Uwe Hermannsrd: Add initial JTAG protocol decoder.