]> sigrok.org Git - libsigrokdecode.git/blame - decoders/spi/pd.py
spi: Use 'None' to signal the missing CS# pin.
[libsigrokdecode.git] / decoders / spi / pd.py
CommitLineData
6eb87578 1##
50bd5d25 2## This file is part of the libsigrokdecode project.
6eb87578
GM
3##
4## Copyright (C) 2011 Gareth McMullin <gareth@blacksphere.co.nz>
12549f11 5## Copyright (C) 2012-2014 Uwe Hermann <uwe@hermann-uwe.de>
6eb87578
GM
6##
7## This program is free software; you can redistribute it and/or modify
8## it under the terms of the GNU General Public License as published by
9## the Free Software Foundation; either version 2 of the License, or
10## (at your option) any later version.
11##
12## This program is distributed in the hope that it will be useful,
13## but WITHOUT ANY WARRANTY; without even the implied warranty of
14## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
15## GNU General Public License for more details.
16##
17## You should have received a copy of the GNU General Public License
18## along with this program; if not, write to the Free Software
19## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
20##
ad2dc0de 21
677d597b 22import sigrokdecode as srd
67e847fd 23
0702e0cf 24'''
c515eed7 25OUTPUT_PYTHON format:
0702e0cf 26
bf69977d
UH
27Packet:
28[<ptype>, <data1>, <data2>]
0702e0cf 29
bf69977d 30<ptype>:
34929ee0 31 - 'DATA': <data1> contains the MOSI data, <data2> contains the MISO data.
0702e0cf 32 The data is _usually_ 8 bits (but can also be fewer or more bits).
12549f11 33 Both data items are Python numbers (not strings), or None if the respective
6a15597a 34 channel was not supplied.
34929ee0 35 - 'BITS': <data1>/<data2> contain a list of bit values in this MOSI/MISO data
cddd11bc 36 item, and for each of those also their respective start-/endsample numbers.
0702e0cf 37 - 'CS CHANGE': <data1> is the old CS# pin value, <data2> is the new value.
1c49e875 38 Both data items are Python numbers (0/1), not strings. At the beginning of
8a110ab1
JS
39 the decoding a packet is generated with <data1> = None and <data2> being the
40 initial state of the CS# pin or None if the chip select pin is not supplied.
0702e0cf
UH
41
42Examples:
8a110ab1 43 ['CS-CHANGE', None, 1]
0702e0cf
UH
44 ['CS-CHANGE', 1, 0]
45 ['DATA', 0xff, 0x3a]
cddd11bc
UH
46 ['BITS', [[1, 80, 82], [1, 83, 84], [1, 85, 86], [1, 87, 88],
47 [1, 89, 90], [1, 91, 92], [1, 93, 94], [1, 95, 96]],
d78e0beb
UH
48 [[0, 80, 82], [1, 83, 84], [0, 85, 86], [1, 87, 88],
49 [1, 89, 90], [1, 91, 92], [0, 93, 94], [0, 95, 96]]]
0702e0cf 50 ['DATA', 0x65, 0x00]
12549f11
UH
51 ['DATA', 0xa8, None]
52 ['DATA', None, 0x55]
0702e0cf
UH
53 ['CS-CHANGE', 0, 1]
54'''
55
8a7ce2a3 56# Key: (CPOL, CPHA). Value: SPI mode.
94bbdb9a
UH
57# Clock polarity (CPOL) = 0/1: Clock is low/high when inactive.
58# Clock phase (CPHA) = 0/1: Data is valid on the leading/trailing clock edge.
c94c8c91
UH
59spi_mode = {
60 (0, 0): 0, # Mode 0
61 (0, 1): 1, # Mode 1
62 (1, 0): 2, # Mode 2
63 (1, 1): 3, # Mode 3
64}
65
37b0da68
BV
66class SamplerateError(Exception):
67 pass
68
f04964c6 69class ChannelError(Exception):
37b0da68
BV
70 pass
71
677d597b 72class Decoder(srd.Decoder):
12851357 73 api_version = 2
67e847fd 74 id = 'spi'
2b7d0e2b 75 name = 'SPI'
3d3da57d 76 longname = 'Serial Peripheral Interface'
a465436e 77 desc = 'Full-duplex, synchronous, serial bus.'
6eb87578
GM
78 license = 'gplv2+'
79 inputs = ['logic']
80 outputs = ['spi']
6a15597a 81 channels = (
49e8a4d6 82 {'id': 'clk', 'name': 'CLK', 'desc': 'Clock'},
da9bcbd9 83 )
6a15597a 84 optional_channels = (
49e8a4d6
UH
85 {'id': 'miso', 'name': 'MISO', 'desc': 'Master in, slave out'},
86 {'id': 'mosi', 'name': 'MOSI', 'desc': 'Master out, slave in'},
87 {'id': 'cs', 'name': 'CS#', 'desc': 'Chip-select'},
da9bcbd9 88 )
84c1c0b5
BV
89 options = (
90 {'id': 'cs_polarity', 'desc': 'CS# polarity', 'default': 'active-low',
91 'values': ('active-low', 'active-high')},
92 {'id': 'cpol', 'desc': 'Clock polarity', 'default': 0,
93 'values': (0, 1)},
94 {'id': 'cpha', 'desc': 'Clock phase', 'default': 0,
95 'values': (0, 1)},
b0918d40 96 {'id': 'bitorder', 'desc': 'Bit order',
84c1c0b5 97 'default': 'msb-first', 'values': ('msb-first', 'lsb-first')},
b0918d40 98 {'id': 'wordsize', 'desc': 'Word size', 'default': 8},
84c1c0b5 99 )
da9bcbd9
BV
100 annotations = (
101 ('miso-data', 'MISO data'),
102 ('mosi-data', 'MOSI data'),
103 ('miso-bits', 'MISO bits'),
104 ('mosi-bits', 'MOSI bits'),
105 ('warnings', 'Human-readable warnings'),
106 )
06b52ebb 107 annotation_rows = (
cddd11bc
UH
108 ('miso-data', 'MISO data', (0,)),
109 ('miso-bits', 'MISO bits', (2,)),
110 ('mosi-data', 'MOSI data', (1,)),
111 ('mosi-bits', 'MOSI bits', (3,)),
112 ('other', 'Other', (4,)),
06b52ebb 113 )
6eb87578 114
3643fc3f 115 def __init__(self):
8a3c8792 116 self.samplerate = None
bcd14870 117 self.oldclk = 1
a10bfc48 118 self.bitcount = 0
bbc100f7 119 self.misodata = self.mosidata = 0
cddd11bc 120 self.misobits = []
bbc100f7 121 self.mosibits = []
486b19ce 122 self.ss_block = -1
d6bace96 123 self.samplenum = -1
bb08f4b3 124 self.cs_was_deasserted = False
8a110ab1 125 self.oldcs = None
2fcd7c22 126 self.oldpins = None
bbc100f7 127 self.have_cs = self.have_miso = self.have_mosi = None
1c49e875 128 self.no_cs_notification = False
6eb87578 129
8a3c8792
BV
130 def metadata(self, key, value):
131 if key == srd.SRD_CONF_SAMPLERATE:
132 self.samplerate = value
133
8915b346 134 def start(self):
c515eed7 135 self.out_python = self.register(srd.OUTPUT_PYTHON)
be465111 136 self.out_ann = self.register(srd.OUTPUT_ANN)
8a3c8792
BV
137 self.out_bitrate = self.register(srd.OUTPUT_META,
138 meta=(int, 'Bitrate', 'Bitrate during transfers'))
3643fc3f 139
ec0afe27 140 def putw(self, data):
486b19ce 141 self.put(self.ss_block, self.samplenum, self.out_ann, data)
ec0afe27 142
bbc100f7
UH
143 def putdata(self):
144 # Pass MISO and MOSI bits and then data to the next PD up the stack.
145 so = self.misodata if self.have_miso else None
146 si = self.mosidata if self.have_mosi else None
147 so_bits = self.misobits if self.have_miso else None
148 si_bits = self.mosibits if self.have_mosi else None
7c09dbb2
UH
149
150 if self.have_miso:
151 ss, es = self.misobits[-1][1], self.misobits[0][2]
152 if self.have_mosi:
153 ss, es = self.mosibits[-1][1], self.mosibits[0][2]
154
155 self.put(ss, es, self.out_python, ['BITS', si_bits, so_bits])
156 self.put(ss, es, self.out_python, ['DATA', si, so])
cddd11bc 157
bbc100f7
UH
158 # Bit annotations.
159 if self.have_miso:
160 for bit in self.misobits:
161 self.put(bit[1], bit[2], self.out_ann, [2, ['%d' % bit[0]]])
162 if self.have_mosi:
163 for bit in self.mosibits:
164 self.put(bit[1], bit[2], self.out_ann, [3, ['%d' % bit[0]]])
165
166 # Dataword annotations.
167 if self.have_miso:
808c6e74 168 self.put(ss, es, self.out_ann, [0, ['%02X' % self.misodata]])
bbc100f7 169 if self.have_mosi:
808c6e74 170 self.put(ss, es, self.out_ann, [1, ['%02X' % self.mosidata]])
cddd11bc 171
d482a2d3
UH
172 def reset_decoder_state(self):
173 self.misodata = 0 if self.have_miso else None
174 self.mosidata = 0 if self.have_mosi else None
175 self.misobits = [] if self.have_miso else None
176 self.mosibits = [] if self.have_mosi else None
177 self.bitcount = 0
178
bcd14870 179 def handle_bit(self, miso, mosi, clk, cs):
cddd11bc 180 # If this is the first bit of a dataword, save its sample number.
191ec8c5 181 if self.bitcount == 0:
486b19ce 182 self.ss_block = self.samplenum
bb08f4b3 183 self.cs_was_deasserted = False
efa64173
UH
184 if self.have_cs:
185 active_low = (self.options['cs_polarity'] == 'active-low')
4fecc5a4 186 self.cs_was_deasserted = (cs == 1) if active_low else (cs == 0)
2fcd7c22 187
191ec8c5 188 ws = self.options['wordsize']
d6bace96 189
bbc100f7
UH
190 # Receive MISO bit into our shift register.
191 if self.have_miso:
192 if self.options['bitorder'] == 'msb-first':
193 self.misodata |= miso << (ws - 1 - self.bitcount)
194 else:
195 self.misodata |= miso << self.bitcount
196
191ec8c5 197 # Receive MOSI bit into our shift register.
12549f11
UH
198 if self.have_mosi:
199 if self.options['bitorder'] == 'msb-first':
200 self.mosidata |= mosi << (ws - 1 - self.bitcount)
201 else:
202 self.mosidata |= mosi << self.bitcount
3e3c0330 203
808c6e74 204 # Guesstimate the endsample for this bit (can be overridden below).
bbc100f7
UH
205 es = self.samplenum
206 if self.bitcount > 0:
8e8096e8
UH
207 if self.have_miso:
208 es += self.samplenum - self.misobits[0][1]
209 elif self.have_mosi:
210 es += self.samplenum - self.mosibits[0][1]
c94c8c91 211
cddd11bc 212 if self.have_miso:
d78e0beb 213 self.misobits.insert(0, [miso, self.samplenum, es])
cddd11bc 214 if self.have_mosi:
d78e0beb 215 self.mosibits.insert(0, [mosi, self.samplenum, es])
bbc100f7
UH
216
217 if self.bitcount > 0 and self.have_miso:
d78e0beb 218 self.misobits[1][2] = self.samplenum
bbc100f7 219 if self.bitcount > 0 and self.have_mosi:
d78e0beb 220 self.mosibits[1][2] = self.samplenum
cddd11bc 221
191ec8c5 222 self.bitcount += 1
1ea831e9 223
191ec8c5
UH
224 # Continue to receive if not enough bits were received, yet.
225 if self.bitcount != ws:
226 return
b1bb5eed 227
bbc100f7 228 self.putdata()
12549f11
UH
229
230 # Meta bitrate.
bbc100f7 231 elapsed = 1 / float(self.samplerate)
486b19ce 232 elapsed *= (self.samplenum - self.ss_block + 1)
8a3c8792 233 bitrate = int(1 / elapsed * self.options['wordsize'])
486b19ce 234 self.put(self.ss_block, self.samplenum, self.out_bitrate, bitrate)
8a3c8792 235
bb08f4b3 236 if self.have_cs and self.cs_was_deasserted:
cddd11bc 237 self.putw([4, ['CS# was deasserted during this data word!']])
191ec8c5 238
d482a2d3 239 self.reset_decoder_state()
191ec8c5 240
bcd14870 241 def find_clk_edge(self, miso, mosi, clk, cs):
efa64173 242 if self.have_cs and self.oldcs != cs:
191ec8c5 243 # Send all CS# pin value changes.
c515eed7 244 self.put(self.samplenum, self.samplenum, self.out_python,
191ec8c5
UH
245 ['CS-CHANGE', self.oldcs, cs])
246 self.oldcs = cs
efa64173 247 # Reset decoder state when CS# changes (and the CS# pin is used).
d482a2d3 248 self.reset_decoder_state()
191ec8c5
UH
249
250 # Ignore sample if the clock pin hasn't changed.
bcd14870 251 if clk == self.oldclk:
191ec8c5 252 return
b1bb5eed 253
bcd14870 254 self.oldclk = clk
b1bb5eed 255
191ec8c5
UH
256 # Sample data on rising/falling clock edge (depends on mode).
257 mode = spi_mode[self.options['cpol'], self.options['cpha']]
bcd14870 258 if mode == 0 and clk == 0: # Sample on rising clock edge
191ec8c5 259 return
bcd14870 260 elif mode == 1 and clk == 1: # Sample on falling clock edge
191ec8c5 261 return
bcd14870 262 elif mode == 2 and clk == 1: # Sample on falling clock edge
191ec8c5 263 return
bcd14870 264 elif mode == 3 and clk == 0: # Sample on rising clock edge
191ec8c5
UH
265 return
266
267 # Found the correct clock edge, now get the SPI bit(s).
bcd14870 268 self.handle_bit(miso, mosi, clk, cs)
191ec8c5
UH
269
270 def decode(self, ss, es, data):
37b0da68 271 if not self.samplerate:
21cda951 272 raise SamplerateError('Cannot decode without samplerate.')
12549f11 273 # Either MISO or MOSI can be omitted (but not both). CS# is optional.
191ec8c5 274 for (self.samplenum, pins) in data:
01329e88 275
191ec8c5
UH
276 # Ignore identical samples early on (for performance reasons).
277 if self.oldpins == pins:
278 continue
bcd14870 279 self.oldpins, (clk, miso, mosi, cs) = pins, pins
12549f11
UH
280 self.have_miso = (miso in (0, 1))
281 self.have_mosi = (mosi in (0, 1))
efa64173 282 self.have_cs = (cs in (0, 1))
b1bb5eed 283
9ed11500
UH
284 # Either MISO or MOSI (but not both) can be omitted.
285 if not (self.have_miso or self.have_mosi):
f04964c6 286 raise ChannelError('Either MISO or MOSI (or both) pins required.')
9ed11500 287
1c49e875
JS
288 # Tell stacked decoders that we don't have a CS# signal.
289 if not self.no_cs_notification and not self.have_cs:
8a110ab1 290 self.put(0, 0, self.out_python, ['CS-CHANGE', None, None])
1c49e875
JS
291 self.no_cs_notification = True
292
4fecc5a4 293 self.find_clk_edge(miso, mosi, clk, cs)