]> sigrok.org Git - libsigrokdecode.git/blame - decoders/i2c.py
srd: UART: Simplify a code snippet.
[libsigrokdecode.git] / decoders / i2c.py
CommitLineData
0588ed70
UH
1##
2## This file is part of the sigrok project.
3##
7b86f0bc 4## Copyright (C) 2010-2011 Uwe Hermann <uwe@hermann-uwe.de>
0588ed70
UH
5##
6## This program is free software; you can redistribute it and/or modify
7## it under the terms of the GNU General Public License as published by
8## the Free Software Foundation; either version 2 of the License, or
9## (at your option) any later version.
10##
11## This program is distributed in the hope that it will be useful,
12## but WITHOUT ANY WARRANTY; without even the implied warranty of
13## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
14## GNU General Public License for more details.
15##
16## You should have received a copy of the GNU General Public License
17## along with this program; if not, write to the Free Software
18## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
19##
20
21#
22# I2C protocol decoder
23#
24
25#
26# The Inter-Integrated Circuit (I2C) bus is a bidirectional, multi-master
27# bus using two signals (SCL = serial clock line, SDA = serial data line).
28#
29# There can be many devices on the same bus. Each device can potentially be
30# master or slave (and that can change during runtime). Both slave and master
31# can potentially play the transmitter or receiver role (this can also
32# change at runtime).
33#
34# Possible maximum data rates:
35# - Standard mode: 100 kbit/s
36# - Fast mode: 400 kbit/s
37# - Fast-mode Plus: 1 Mbit/s
38# - High-speed mode: 3.4 Mbit/s
39#
40# START condition (S): SDA = falling, SCL = high
41# Repeated START condition (Sr): same as S
7b86f0bc 42# Data bit sampling: SCL = rising
0588ed70
UH
43# STOP condition (P): SDA = rising, SCL = high
44#
33e72c54 45# All data bytes on SDA are exactly 8 bits long (transmitted MSB-first).
0588ed70
UH
46# Each byte has to be followed by a 9th ACK/NACK bit. If that bit is low,
47# that indicates an ACK, if it's high that indicates a NACK.
48#
49# After the first START condition, a master sends the device address of the
50# slave it wants to talk to. Slave addresses are 7 bits long (MSB-first).
33e72c54 51# After those 7 bits, a data direction bit is sent. If the bit is low that
0588ed70
UH
52# indicates a WRITE operation, if it's high that indicates a READ operation.
53#
54# Later an optional 10bit slave addressing scheme was added.
55#
56# Documentation:
57# http://www.nxp.com/acrobat/literature/9398/39340011.pdf (v2.1 spec)
58# http://www.nxp.com/acrobat/usermanuals/UM10204_3.pdf (v3 spec)
59# http://en.wikipedia.org/wiki/I2C
60#
61
62# TODO: Look into arbitration, collision detection, clock synchronisation, etc.
63# TODO: Handle clock stretching.
64# TODO: Handle combined messages / repeated START.
65# TODO: Implement support for 7bit and 10bit slave addresses.
66# TODO: Implement support for inverting SDA/SCL levels (0->1 and 1->0).
67# TODO: Implement support for detecting various bus errors.
68
23fb2e12
UH
69#
70# I2C output format:
71#
72# The output consists of a (Python) list of I2C "packets", each of which
73# has an (implicit) index number (its index in the list).
74# Each packet consists of a Python dict with certain key/value pairs.
75#
76# TODO: Make this a list later instead of a dict?
77#
78# 'type': (string)
79# - 'S' (START condition)
80# - 'Sr' (Repeated START)
81# - 'AR' (Address, read)
82# - 'AW' (Address, write)
83# - 'DR' (Data, read)
84# - 'DW' (Data, write)
85# - 'P' (STOP condition)
86# 'range': (tuple of 2 integers, the min/max samplenumber of this range)
87# - (min, max)
88# - min/max can also be identical.
89# 'data': (actual data as integer ???) TODO: This can be very variable...
90# 'ann': (string; additional annotations / comments)
91#
92# Example output:
93# [{'type': 'S', 'range': (150, 160), 'data': None, 'ann': 'Foobar'},
94# {'type': 'AW', 'range': (200, 300), 'data': 0x50, 'ann': 'Slave 4'},
95# {'type': 'DW', 'range': (310, 370), 'data': 0x00, 'ann': 'Init cmd'},
96# {'type': 'AR', 'range': (500, 560), 'data': 0x50, 'ann': 'Get stat'},
97# {'type': 'DR', 'range': (580, 640), 'data': 0xfe, 'ann': 'OK'},
98# {'type': 'P', 'range': (650, 660), 'data': None, 'ann': None}]
99#
100# Possible other events:
101# - Error event in case protocol looks broken:
102# [{'type': 'ERROR', 'range': (min, max),
ad2dc0de 103# 'data': TODO, 'ann': 'This is not a Microchip 24XX64 EEPROM'},
23fb2e12 104# [{'type': 'ERROR', 'range': (min, max),
ad2dc0de 105# 'data': TODO, 'ann': 'TODO'},
23fb2e12
UH
106# - TODO: Make list of possible errors accessible as metadata?
107#
108# TODO: I2C address of slaves.
109# TODO: Handle multiple different I2C devices on same bus
110# -> we need to decode multiple protocols at the same time.
111# TODO: range: Always contiguous? Splitted ranges? Multiple per event?
112#
113
114#
115# I2C input format:
116#
117# signals:
118# [[id, channel, description], ...] # TODO
119#
120# Example:
121# {'id': 'SCL', 'ch': 5, 'desc': 'Serial clock line'}
122# {'id': 'SDA', 'ch': 7, 'desc': 'Serial data line'}
123# ...
124#
125# {'inbuf': [...],
126# 'signals': [{'SCL': }]}
127#
128
b2c19614
BV
129import sigrok
130
400f9ae7
UH
131# States
132FIND_START = 0
133FIND_ADDRESS = 1
134FIND_DATA = 2
135
f39d2404
UH
136class Sample():
137 def __init__(self, data):
138 self.data = data
139 def probe(self, probe):
140 s = ord(self.data[probe / 8]) & (1 << (probe % 8))
141 return True if s else False
142
143def sampleiter(data, unitsize):
144 for i in range(0, len(data), unitsize):
145 yield(Sample(data[i:i+unitsize]))
146
b2c19614 147class Decoder(sigrok.Decoder):
67e847fd 148 id = 'i2c'
f39d2404
UH
149 name = 'I2C'
150 longname = 'Inter-Integrated Circuit (I2C) bus'
151 desc = 'I2C is a two-wire, multi-master, serial bus.'
152 longdesc = '...'
153 author = 'Uwe Hermann'
154 email = 'uwe@hermann-uwe.de'
155 license = 'gplv2+'
156 inputs = ['logic']
157 outputs = ['i2c']
158 probes = {
159 'scl': {'ch': 0, 'name': 'SCL', 'desc': 'Serial clock line'},
160 'sda': {'ch': 1, 'name': 'SDA', 'desc': 'Serial data line'},
161 }
162 options = {
163 'address-space': ['Address space (in bits)', 7],
ad2dc0de 164 }
0588ed70 165
3643fc3f 166 def __init__(self, **kwargs):
f39d2404
UH
167 self.probes = Decoder.probes.copy()
168
169 # TODO: Don't hardcode the number of channels.
170 self.channels = 8
171
172 self.samplenum = 0
f39d2404
UH
173 self.bitcount = 0
174 self.databyte = 0
175 self.wr = -1
176 self.startsample = -1
5dd9af5b 177 self.is_repeat_start = 0
7b86f0bc 178
400f9ae7 179 self.state = FIND_START
f39d2404
UH
180
181 # Get the channel/probe number of the SCL/SDA signals.
182 self.scl_bit = self.probes['scl']['ch']
183 self.sda_bit = self.probes['sda']['ch']
184
185 self.oldscl = None
186 self.oldsda = None
187
3643fc3f
GM
188 def start(self, metadata):
189 self.unitsize = metadata["unitsize"]
190
f39d2404
UH
191 def report(self):
192 pass
193
7b86f0bc 194 def is_start_condition(self, scl, sda):
c4262fd6 195 """START condition (S): SDA = falling, SCL = high"""
7b86f0bc
UH
196 if (self.oldsda == 1 and sda == 0) and scl == 1:
197 return True
198 return False
199
200 def is_data_bit(self, scl, sda):
c4262fd6 201 """Data sampling of receiver: SCL = rising"""
7b86f0bc
UH
202 if self.oldscl == 0 and scl == 1:
203 return True
204 return False
205
206 def is_stop_condition(self, scl, sda):
c4262fd6 207 """STOP condition (P): SDA = rising, SCL = high"""
7b86f0bc
UH
208 if (self.oldsda == 0 and sda == 1) and scl == 1:
209 return True
210 return False
211
212 def find_start(self, scl, sda):
213 out = []
214 # o = {'type': 'S', 'range': (self.samplenum, self.samplenum),
215 # 'data': None, 'ann': None},
5dd9af5b 216 o = (self.is_repeat_start == 1) and 'Sr' or 'S'
7b86f0bc 217 out.append(o)
400f9ae7 218 self.state = FIND_ADDRESS
7b86f0bc 219 self.bitcount = self.databyte = 0
5dd9af5b 220 self.is_repeat_start = 1
7b86f0bc
UH
221 self.wr = -1
222 return out
223
224 def find_address_or_data(self, scl, sda):
c4262fd6 225 """Gather 8 bits of data plus the ACK/NACK bit."""
7b86f0bc
UH
226 out = o = []
227
228 if self.startsample == -1:
229 self.startsample = self.samplenum
230 self.bitcount += 1
231
232 # Address and data are transmitted MSB-first.
233 self.databyte <<= 1
234 self.databyte |= sda
235
236 # Return if we haven't collected all 8 + 1 bits, yet.
237 if self.bitcount != 9:
238 return []
239
240 # We received 8 address/data bits and the ACK/NACK bit.
241 self.databyte >>= 1 # Shift out unwanted ACK/NACK bit here.
242
243 ack = (sda == 1) and 'N' or 'A'
244
400f9ae7 245 if self.state == FIND_ADDRESS:
7b86f0bc
UH
246 d = self.databyte & 0xfe
247 # The READ/WRITE bit is only in address bytes, not data bytes.
248 self.wr = (self.databyte & 1) and 1 or 0
400f9ae7 249 elif self.state == FIND_DATA:
7b86f0bc
UH
250 d = self.databyte
251 else:
252 # TODO: Error?
253 pass
254
255 # o = {'type': self.state,
256 # 'range': (self.startsample, self.samplenum - 1),
257 # 'data': d, 'ann': None}
258
e100d51e 259 o = {'data': '0x%02x' % d}
7b86f0bc
UH
260
261 # TODO: Simplify.
400f9ae7 262 if self.state == FIND_ADDRESS and self.wr == 1:
7b86f0bc 263 o['type'] = 'AW'
400f9ae7 264 elif self.state == FIND_ADDRESS and self.wr == 0:
7b86f0bc 265 o['type'] = 'AR'
400f9ae7 266 elif self.state == FIND_DATA and self.wr == 1:
7b86f0bc 267 o['type'] = 'DW'
400f9ae7 268 elif self.state == FIND_DATA and self.wr == 0:
7b86f0bc
UH
269 o['type'] = 'DR'
270
271 out.append(o)
272
273 # o = {'type': ack, 'range': (self.samplenum, self.samplenum),
274 # 'data': None, 'ann': None}
275 o = ack
276 out.append(o)
277 self.bitcount = self.databyte = 0
278 self.startsample = -1
279
400f9ae7
UH
280 if self.state == FIND_ADDRESS:
281 self.state = FIND_DATA
282 elif self.state == FIND_DATA:
7b86f0bc
UH
283 # There could be multiple data bytes in a row.
284 # So, either find a STOP condition or another data byte next.
285 pass
286
287 return out
288
289 def find_stop(self, scl, sda):
290 out = o = []
291
292 # o = {'type': 'P', 'range': (self.samplenum, self.samplenum),
293 # 'data': None, 'ann': None},
294 o = 'P'
295 out.append(o)
400f9ae7 296 self.state = FIND_START
5dd9af5b 297 self.is_repeat_start = 0
7b86f0bc
UH
298 self.wr = -1
299
300 return out
301
f39d2404
UH
302 def decode(self, data):
303 """I2C protocol decoder"""
304
305 out = []
306 o = ack = d = ''
307
308 # We should accept a list of samples and iterate...
e100d51e 309 for sample in sampleiter(data['data'], self.unitsize):
f39d2404
UH
310
311 # TODO: Eliminate the need for ord().
312 s = ord(sample.data)
313
314 # TODO: Start counting at 0 or 1?
315 self.samplenum += 1
316
317 # First sample: Save SCL/SDA value.
318 if self.oldscl == None:
319 # Get SCL/SDA bit values (0/1 for low/high) of the first sample.
320 self.oldscl = (s & (1 << self.scl_bit)) >> self.scl_bit
321 self.oldsda = (s & (1 << self.sda_bit)) >> self.sda_bit
ad2dc0de 322 continue
0588ed70 323
f39d2404
UH
324 # Get SCL/SDA bit values (0/1 for low/high).
325 scl = (s & (1 << self.scl_bit)) >> self.scl_bit
326 sda = (s & (1 << self.sda_bit)) >> self.sda_bit
327
328 # TODO: Wait until the bus is idle (SDA = SCL = 1) first?
329
7b86f0bc 330 # State machine.
400f9ae7 331 if self.state == FIND_START:
7b86f0bc
UH
332 if self.is_start_condition(scl, sda):
333 out += self.find_start(scl, sda)
400f9ae7 334 elif self.state == FIND_ADDRESS:
7b86f0bc
UH
335 if self.is_data_bit(scl, sda):
336 out += self.find_address_or_data(scl, sda)
400f9ae7 337 elif self.state == FIND_DATA:
7b86f0bc
UH
338 if self.is_data_bit(scl, sda):
339 out += self.find_address_or_data(scl, sda)
340 elif self.is_start_condition(scl, sda):
341 out += self.find_start(scl, sda)
342 elif self.is_stop_condition(scl, sda):
343 out += self.find_stop(scl, sda)
344 else:
345 # TODO: Error?
346 pass
f39d2404
UH
347
348 # Save current SDA/SCL values for the next round.
349 self.oldscl = scl
350 self.oldsda = sda
351
f39d2404 352 if out != []:
b2c19614 353 self.put(out)
f39d2404 354