]> sigrok.org Git - libsigrok.git/blobdiff - src/hardware/asix-sigma/protocol.h
asix-sigma: unconditionally re-enable trigger support code
[libsigrok.git] / src / hardware / asix-sigma / protocol.h
index 574183affb60f8ac40b48e528e749ea79ad00b59..26370170481e008e97954ca2826ab5b4a0dd5869 100644 (file)
 
 #define LOG_PREFIX "asix-sigma"
 
-/*
- * Triggers are not working in this implementation. Stop claiming
- * support for the feature which effectively is not available, until
- * the implementation got fixed. Yet keep the code in place and allow
- * developers to turn on this switch during development.
- */
-#define ASIX_SIGMA_WITH_TRIGGER        1
-
 /* Experimental support for OMEGA (scan only, operation is ENOIMPL). */
 #define ASIX_WITH_OMEGA 0