]> sigrok.org Git - libsigrok.git/commit
asix-sigma: unconditionally re-enable trigger support code
authorGerhard Sittig <redacted>
Sun, 31 May 2020 10:36:11 +0000 (12:36 +0200)
committerGerhard Sittig <redacted>
Sun, 31 May 2020 21:56:16 +0000 (23:56 +0200)
commite09267136518776a5afc42049d1953360f2d3966
tree56d87bbbebd3da70b5904feb8af408d7280becf6
parentf14e6f7e1aab7f2ccfdffe6540d162f292c00a2c
asix-sigma: unconditionally re-enable trigger support code

Trigger support became operational again. Drop the compile time switch
which disabled the previously incomplete implementation.

This resolves bug #359.
src/hardware/asix-sigma/api.c
src/hardware/asix-sigma/protocol.c
src/hardware/asix-sigma/protocol.h