]> sigrok.org Git - libsigrok.git/blob - tests/strutil.c
tests: Fix incomplete fail message for locale tests.
[libsigrok.git] / tests / strutil.c
1 /*
2  * This file is part of the libsigrok project.
3  *
4  * Copyright (C) 2013 Uwe Hermann <uwe@hermann-uwe.de>
5  *
6  * This program is free software; you can redistribute it and/or modify
7  * it under the terms of the GNU General Public License as published by
8  * the Free Software Foundation; either version 2 of the License, or
9  * (at your option) any later version.
10  *
11  * This program is distributed in the hope that it will be useful,
12  * but WITHOUT ANY WARRANTY; without even the implied warranty of
13  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
14  * GNU General Public License for more details.
15  *
16  * You should have received a copy of the GNU General Public License
17  * along with this program; if not, see <http://www.gnu.org/licenses/>.
18  */
19
20 #include <config.h>
21 #include <check.h>
22 #include <locale.h>
23 #include <libsigrok/libsigrok.h>
24 #include "lib.h"
25
26 #if 0
27 static void test_vsnprintf(const char *expected, char *format, ...)
28 {
29         va_list args;
30         char *s;
31         int len;
32
33         len = 16;
34         s = g_malloc0(len + 1);
35
36         va_start(args, format);
37         len = vsnprintf(s, len, format, args);
38         va_end(args);
39
40         fail_unless(s != NULL,
41                         "Invalid result for '%s': len = %i.", expected, len);
42         fail_unless(!strcmp(s, expected),
43                         "Invalid result for '%s': %s.", expected, s);
44         g_free(s);
45 }
46 #endif
47
48 static void test_sr_vsnprintf_ascii(const char *expected, char *format, ...)
49 {
50         va_list args;
51         char *s;
52         int len;
53
54         len = 16;
55         s = g_malloc0(len + 1);
56
57         va_start(args, format);
58         len = sr_vsnprintf_ascii(s, len, format, args);
59         va_end(args);
60
61         fail_unless(s != NULL,
62                         "Invalid result for '%s': len = %i.", expected, len);
63         fail_unless(!strcmp(s, expected),
64                         "Invalid result for '%s': %s.", expected, s);
65         g_free(s);
66 }
67
68 static void test_samplerate(uint64_t samplerate, const char *expected)
69 {
70         char *s;
71
72         s = sr_samplerate_string(samplerate);
73         fail_unless(s != NULL);
74         fail_unless(!strcmp(s, expected),
75                     "Invalid result for '%s': %s.", expected, s);
76         g_free(s);
77 }
78
79 static void test_period(uint64_t v_p, uint64_t v_q, const char *expected)
80 {
81         char *s;
82
83         s = sr_period_string(v_p, v_q);
84         fail_unless(s != NULL);
85         fail_unless(!strcmp(s, expected),
86                     "Invalid result for '%s': %s.", expected, s);
87         g_free(s);
88 }
89
90 static void test_rational(const char *input, struct sr_rational expected)
91 {
92         int ret;
93         struct sr_rational rational;
94
95         ret = sr_parse_rational(input, &rational);
96         fail_unless(ret == SR_OK);
97         fail_unless((expected.p == rational.p) && (expected.q == rational.q),
98                     "Invalid result for '%s': %ld/%ld'.",
99                     input, rational.p, rational.q);
100 }
101
102 static void test_voltage(uint64_t v_p, uint64_t v_q, const char *expected)
103 {
104         char *s;
105
106         s = sr_voltage_string(v_p, v_q);
107         fail_unless(s != NULL);
108         fail_unless(!strcmp(s, expected),
109                     "Invalid result for '%s': %s.", expected, s);
110         g_free(s);
111 }
112
113 START_TEST(test_locale)
114 {
115         char *old_locale, *saved_locale;
116
117         /* Get the the current locale. */
118         old_locale = setlocale(LC_NUMERIC, NULL);
119         fprintf(stderr, "Old locale = %s\n", old_locale);
120         /* Copy the name so it won’t be clobbered by setlocale. */
121         saved_locale = g_strdup(old_locale);
122         ck_assert_msg(saved_locale != NULL);
123
124 #ifdef _WIN32
125         /*
126          * See: https://msdn.microsoft.com/en-us/library/cc233982.aspx
127          * Doesn't work! Locale is not set!
128          */
129         setlocale(LC_NUMERIC, "de-DE");
130 #else
131         /*
132          * For all *nix and OSX systems, change the locale for all threads to
133          * one that is known for not working correctly with printf(), e.g.
134          * "de_DE.UTF-8".
135          *
136          * Find all your available system locales with "locale -a".
137          */
138         setlocale(LC_NUMERIC, "de_DE.UTF-8");
139 #endif
140         fprintf(stderr, "New locale = %s\n", setlocale(LC_NUMERIC, NULL));
141
142         test_sr_vsnprintf_ascii("0.1", "%.1f", (double)0.1);
143         test_sr_vsnprintf_ascii("0.12", "%.2f", (double)0.12);
144         test_sr_vsnprintf_ascii("0.123", "%.3f", (double)0.123);
145         test_sr_vsnprintf_ascii("0.1234", "%.4f", (double)0.1234);
146         test_sr_vsnprintf_ascii("0.12345", "%.5f", (double)0.12345);
147         test_sr_vsnprintf_ascii("0.123456", "%.6f", (double)0.123456);
148
149 #if 0
150         /*
151          * These tests can be used to tell on which platforms the printf()
152          * functions are locale-dependent (i.e. these tests will fail).
153          */
154         test_vsnprintf("0.1", "%.1f", (double)0.1);
155         test_vsnprintf("0.12", "%.2f", (double)0.12);
156         test_vsnprintf("0.123", "%.3f", (double)0.123);
157         test_vsnprintf("0.1234", "%.4f", (double)0.1234);
158         test_vsnprintf("0.12345", "%.5f", (double)0.12345);
159         test_vsnprintf("0.123456", "%.6f", (double)0.123456);
160 #endif
161
162         /* Restore the original locale. */
163         setlocale(LC_NUMERIC, saved_locale);
164         g_free(saved_locale);
165 }
166 END_TEST
167
168 /*
169  * Check various inputs for sr_samplerate_string():
170  *
171  *  - One, two, or three digit results (e.g. 5/55/555 MHz).
172  *  - Results which contain commas (e.g. 1.234 / 12.34 / 123.4 kHz).
173  *  - Results with zeroes right after the comma (e.g. 1.034 Hz).
174  *    See also: http://sigrok.org/bugzilla/show_bug.cgi?id=73
175  *  - Results with zeroes in the middle (e.g. 1.204 kHz).
176  *  - All of the above, but using SR_MHZ() and friends.
177  *    See also: http://sigrok.org/bugzilla/show_bug.cgi?id=72
178  *
179  * All of the above tests are done for the Hz/kHz/MHz/GHz ranges.
180  */
181
182 START_TEST(test_hz)
183 {
184         test_samplerate(0, "0 Hz");
185         test_samplerate(1, "1 Hz");
186         test_samplerate(23, "23 Hz");
187         test_samplerate(644, "644 Hz");
188         test_samplerate(604, "604 Hz");
189         test_samplerate(550, "550 Hz");
190
191         /* Again, but now using SR_HZ(). */
192         test_samplerate(SR_HZ(0), "0 Hz");
193         test_samplerate(SR_HZ(1), "1 Hz");
194         test_samplerate(SR_HZ(23), "23 Hz");
195         test_samplerate(SR_HZ(644), "644 Hz");
196         test_samplerate(SR_HZ(604), "604 Hz");
197         test_samplerate(SR_HZ(550), "550 Hz");
198 }
199 END_TEST
200
201 START_TEST(test_khz)
202 {
203         test_samplerate(1000, "1 kHz");
204         test_samplerate(99000, "99 kHz");
205         test_samplerate(225000, "225 kHz");
206         test_samplerate(1234, "1.234 kHz");
207         test_samplerate(12345, "12.345 kHz");
208         test_samplerate(123456, "123.456 kHz");
209         test_samplerate(1034, "1.034 kHz");
210         test_samplerate(1004, "1.004 kHz");
211         test_samplerate(1230, "1.23 kHz");
212
213         /* Again, but now using SR_KHZ(). */
214         test_samplerate(SR_KHZ(1), "1 kHz");
215         test_samplerate(SR_KHZ(99), "99 kHz");
216         test_samplerate(SR_KHZ(225), "225 kHz");
217         test_samplerate(SR_KHZ(1.234), "1.234 kHz");
218         test_samplerate(SR_KHZ(12.345), "12.345 kHz");
219         test_samplerate(SR_KHZ(123.456), "123.456 kHz");
220         test_samplerate(SR_KHZ(1.204), "1.204 kHz");
221         test_samplerate(SR_KHZ(1.034), "1.034 kHz");
222         test_samplerate(SR_KHZ(1.004), "1.004 kHz");
223         test_samplerate(SR_KHZ(1.230), "1.23 kHz");
224 }
225 END_TEST
226
227 START_TEST(test_mhz)
228 {
229         test_samplerate(1000000, "1 MHz");
230         test_samplerate(28000000, "28 MHz");
231         test_samplerate(775000000, "775 MHz");
232         test_samplerate(1234567, "1.234567 MHz");
233         test_samplerate(12345678, "12.345678 MHz");
234         test_samplerate(123456789, "123.456789 MHz");
235         test_samplerate(1230007, "1.230007 MHz");
236         test_samplerate(1034567, "1.034567 MHz");
237         test_samplerate(1000007, "1.000007 MHz");
238         test_samplerate(1234000, "1.234 MHz");
239
240         /* Again, but now using SR_MHZ(). */
241         test_samplerate(SR_MHZ(1), "1 MHz");
242         test_samplerate(SR_MHZ(28), "28 MHz");
243         test_samplerate(SR_MHZ(775), "775 MHz");
244         test_samplerate(SR_MHZ(1.234567), "1.234567 MHz");
245         test_samplerate(SR_MHZ(12.345678), "12.345678 MHz");
246         test_samplerate(SR_MHZ(123.456789), "123.456789 MHz");
247         test_samplerate(SR_MHZ(1.230007), "1.230007 MHz");
248         test_samplerate(SR_MHZ(1.034567), "1.034567 MHz");
249         test_samplerate(SR_MHZ(1.000007), "1.000007 MHz");
250         test_samplerate(SR_MHZ(1.234000), "1.234 MHz");
251 }
252 END_TEST
253
254 START_TEST(test_ghz)
255 {
256         test_samplerate(UINT64_C(1000000000), "1 GHz");
257         test_samplerate(UINT64_C(5000000000), "5 GHz");
258         test_samplerate(UINT64_C(72000000000), "72 GHz");
259         test_samplerate(UINT64_C(388000000000), "388 GHz");
260         test_samplerate(UINT64_C(4417594444), "4.417594444 GHz");
261         test_samplerate(UINT64_C(44175944444), "44.175944444 GHz");
262         test_samplerate(UINT64_C(441759444441), "441.759444441 GHz");
263         test_samplerate(UINT64_C(441759000001), "441.759000001 GHz");
264         test_samplerate(UINT64_C(441050000000), "441.05 GHz");
265         test_samplerate(UINT64_C(441000000005), "441.000000005 GHz");
266         test_samplerate(UINT64_C(441500000000), "441.5 GHz");
267
268         /* Again, but now using SR_GHZ(). */
269         test_samplerate(SR_GHZ(1), "1 GHz");
270         test_samplerate(SR_GHZ(5), "5 GHz");
271         test_samplerate(SR_GHZ(72), "72 GHz");
272         test_samplerate(SR_GHZ(388), "388 GHz");
273         test_samplerate(SR_GHZ(4.417594444), "4.417594444 GHz");
274         test_samplerate(SR_GHZ(44.175944444), "44.175944444 GHz");
275         test_samplerate(SR_GHZ(441.759444441), "441.759444441 GHz");
276         test_samplerate(SR_GHZ(441.759000001), "441.759000001 GHz");
277         test_samplerate(SR_GHZ(441.050000000), "441.05 GHz");
278         test_samplerate(SR_GHZ(441.000000005), "441.000000005 GHz");
279         test_samplerate(SR_GHZ(441.500000000), "441.5 GHz");
280
281         /* Now check the biggest-possible samplerate (2^64 Hz). */
282         // test_samplerate(UINT64_C(18446744073709551615), "18446744073.709551615 GHz");
283         // test_samplerate(SR_GHZ(UINT64_C(18446744073)), "18446744073 GHz");
284 }
285 END_TEST
286
287 START_TEST(test_hz_period)
288 {
289         test_period(1, 1, "1 s");
290         test_period(1, 5, "200 ms");
291         test_period(1, 72, "13.889 ms");
292         test_period(1, 388, "2.577 ms");
293         test_period(10, 1000, "10 ms");
294
295         /* Again, but now using SR_HZ(). */
296         test_period(1, SR_HZ(1), "1 s");
297         test_period(1, SR_HZ(5), "200 ms");
298         test_period(1, SR_HZ(72), "13.889 ms");
299         test_period(1, SR_HZ(388), "2.577 ms");
300         test_period(10, SR_HZ(100), "100 ms");
301 }
302 END_TEST
303
304 START_TEST(test_ghz_period)
305 {
306         test_period(1, UINT64_C(1000000000), "1 ns");
307         test_period(1, UINT64_C(5000000000), "200 ps");
308         test_period(1, UINT64_C(72000000000), "13.889 ps");
309         test_period(1, UINT64_C(388000000000), "2.577 ps");
310         test_period(10, UINT64_C(1000000000000), "10 ps");
311         test_period(200, UINT64_C(1000000000000), "200 ps");
312
313         /* Again, but now using SR_GHZ(). */
314         test_period(1, SR_GHZ(1), "1 ns");
315         test_period(1, SR_GHZ(5), "200 ps");
316         test_period(1, SR_GHZ(72), "13.889 ps");
317         test_period(1, SR_GHZ(388), "2.577 ps");
318         test_period(10, SR_GHZ(1), "10 ns");
319         test_period(200, SR_GHZ(1000), "200 ps");
320 }
321 END_TEST
322
323 START_TEST(test_volt)
324 {
325         test_voltage(34, 1, "34 V");
326         test_voltage(34, 2, "17 V");
327         test_voltage(1, 1, "1 V");
328         test_voltage(1, 5, "0.2 V");
329         test_voltage(200, 1000, "200 mV");
330         test_voltage(1, 72, "0.0138889 V");
331         test_voltage(1, 388, "0.00257732 V");
332         test_voltage(10, 1000, "10 mV");
333 }
334 END_TEST
335
336 START_TEST(test_integral)
337 {
338         test_rational("1", (struct sr_rational){1, 1});
339         test_rational("2", (struct sr_rational){2, 1});
340         test_rational("10", (struct sr_rational){10, 1});
341         test_rational("-255", (struct sr_rational){-255, 1});
342 }
343 END_TEST
344
345 START_TEST(test_fractional)
346 {
347         test_rational("0.1", (struct sr_rational){1, 10});
348         test_rational("1.0", (struct sr_rational){10, 10});
349         test_rational("1.2", (struct sr_rational){12, 10});
350         test_rational("12.34", (struct sr_rational){1234, 100});
351         test_rational("-12.34", (struct sr_rational){-1234, 100});
352         test_rational("10.00", (struct sr_rational){1000, 100});
353         test_rational(".1", (struct sr_rational){1, 10});
354         test_rational("+0.1", (struct sr_rational){1, 10});
355         test_rational("+.1", (struct sr_rational){1, 10});
356         test_rational("-0.1", (struct sr_rational){-1, 10});
357         test_rational("-.1", (struct sr_rational){-1, 10});
358 }
359 END_TEST
360
361 START_TEST(test_exponent)
362 {
363         test_rational("1e0", (struct sr_rational){1, 1});
364         test_rational("1E0", (struct sr_rational){1, 1});
365         test_rational("1E1", (struct sr_rational){10, 1});
366         test_rational("1e-1", (struct sr_rational){1, 10});
367         test_rational("-1.234e-0", (struct sr_rational){-1234, 1000});
368         test_rational("-1.234e3", (struct sr_rational){-1234, 1});
369         test_rational("-1.234e-3", (struct sr_rational){-1234, 1000000});
370         test_rational("0.001e3", (struct sr_rational){1, 1});
371         test_rational("0.001e0", (struct sr_rational){1, 1000});
372         test_rational("0.001e-3", (struct sr_rational){1, 1000000});
373         test_rational("43.737E-3", (struct sr_rational){43737, 1000000});
374         test_rational("-0.1e-2", (struct sr_rational){-1, 1000});
375         test_rational("-.1e-2", (struct sr_rational){-1, 1000});
376         test_rational("-.0e-2", (struct sr_rational){0, 1000});
377         test_rational("+.0e-2", (struct sr_rational){0, 1000});
378 }
379 END_TEST
380
381 Suite *suite_strutil(void)
382 {
383         Suite *s;
384         TCase *tc;
385
386         s = suite_create("strutil");
387
388         tc = tcase_create("sr_samplerate_string");
389         tcase_add_checked_fixture(tc, srtest_setup, srtest_teardown);
390         tcase_add_test(tc, test_locale);
391         tcase_add_test(tc, test_hz);
392         tcase_add_test(tc, test_khz);
393         tcase_add_test(tc, test_mhz);
394         tcase_add_test(tc, test_ghz);
395         tcase_add_test(tc, test_hz_period);
396         tcase_add_test(tc, test_ghz_period);
397         tcase_add_test(tc, test_volt);
398         tcase_add_test(tc, test_integral);
399         tcase_add_test(tc, test_fractional);
400         tcase_add_test(tc, test_exponent);
401         suite_add_tcase(s, tc);
402
403         return s;
404 }