]> sigrok.org Git - libsigrok.git/blob - hardware/rigol-ds/protocol.c
4a3f80eb6b2d1adc594c72287f4e8d034af1a7ca
[libsigrok.git] / hardware / rigol-ds / protocol.c
1 /*
2  * This file is part of the libsigrok project.
3  *
4  * Copyright (C) 2012 Martin Ling <martin-git@earth.li>
5  * Copyright (C) 2013 Bert Vermeulen <bert@biot.com>
6  * Copyright (C) 2013 Mathias Grimmberger <mgri@zaphod.sax.de>
7  *
8  * This program is free software: you can redistribute it and/or modify
9  * it under the terms of the GNU General Public License as published by
10  * the Free Software Foundation, either version 3 of the License, or
11  * (at your option) any later version.
12  *
13  * This program is distributed in the hope that it will be useful,
14  * but WITHOUT ANY WARRANTY; without even the implied warranty of
15  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
16  * GNU General Public License for more details.
17  *
18  * You should have received a copy of the GNU General Public License
19  * along with this program.  If not, see <http://www.gnu.org/licenses/>.
20  */
21
22 #include <stdlib.h>
23 #include <stdarg.h>
24 #include <unistd.h>
25 #include <errno.h>
26 #include <string.h>
27 #include <math.h>
28 #include <ctype.h>
29 #include <time.h>
30 #include <glib.h>
31 #include "libsigrok.h"
32 #include "libsigrok-internal.h"
33 #include "protocol.h"
34
35 /*
36  * This is a unified protocol driver for the DS1000 and DS2000 series.
37  *
38  * DS1000 support tested with a Rigol DS1102D.
39  *
40  * DS2000 support tested with a Rigol DS2072 using firmware version 01.01.00.02.
41  *
42  * The Rigol DS2000 series scopes try to adhere to the IEEE 488.2 (I think)
43  * standard. If you want to read it - it costs real money...
44  *
45  * Every response from the scope has a linefeed appended because the
46  * standard says so. In principle this could be ignored because sending the
47  * next command clears the output queue of the scope. This driver tries to
48  * avoid doing that because it may cause an error being generated inside the
49  * scope and who knows what bugs the firmware has WRT this.
50  *
51  * Waveform data is transferred in a format called "arbitrary block program
52  * data" specified in IEEE 488.2. See Agilents programming manuals for their
53  * 2000/3000 series scopes for a nice description.
54  *
55  * Each data block from the scope has a header, e.g. "#900000001400".
56  * The '#' marks the start of a block.
57  * Next is one ASCII decimal digit between 1 and 9, this gives the number of
58  * ASCII decimal digits following.
59  * Last are the ASCII decimal digits giving the number of bytes (not
60  * samples!) in the block.
61  *
62  * After this header as many data bytes as indicated follow.
63  *
64  * Each data block has a trailing linefeed too.
65  */
66
67 static int parse_int(const char *str, int *ret)
68 {
69         char *e;
70         long tmp;
71
72         errno = 0;
73         tmp = strtol(str, &e, 10);
74         if (e == str || *e != '\0') {
75                 sr_dbg("Failed to parse integer: '%s'", str);
76                 return SR_ERR;
77         }
78         if (errno) {
79                 sr_dbg("Failed to parse integer: '%s', numerical overflow", str);
80                 return SR_ERR;
81         }
82         if (tmp > INT_MAX || tmp < INT_MIN) {
83                 sr_dbg("Failed to parse integer: '%s', value to large/small", str);
84                 return SR_ERR;
85         }
86
87         *ret = (int)tmp;
88         return SR_OK;
89 }
90
91 /* Set the next event to wait for in rigol_ds_receive */
92 static void rigol_ds_set_wait_event(struct dev_context *devc, enum wait_events event)
93 {
94         if (event == WAIT_STOP)
95                 devc->wait_status = 2;
96         else
97                 devc->wait_status = 1;
98         devc->wait_event = event;
99 }
100
101 /*
102  * Waiting for a event will return a timeout after 2 to 3 seconds in order
103  * to not block the application.
104  */
105 static int rigol_ds_event_wait(const struct sr_dev_inst *sdi, char status1, char status2)
106 {
107         char *buf;
108         struct dev_context *devc;
109         time_t start;
110
111         if (!(devc = sdi->priv))
112                 return SR_ERR;
113
114         start = time(NULL);
115
116         /*
117          * Trigger status may return:
118          * "TD" or "T'D" - triggered
119          * "AUTO"        - autotriggered
120          * "RUN"         - running
121          * "WAIT"        - waiting for trigger
122          * "STOP"        - stopped
123          */
124
125         if (devc->wait_status == 1) {
126                 do {
127                         if (time(NULL) - start >= 3) {
128                                 sr_dbg("Timeout waiting for trigger");
129                                 return SR_ERR_TIMEOUT;
130                         }
131
132                         if (sr_scpi_get_string(sdi->conn, ":TRIG:STAT?", &buf) != SR_OK)
133                                 return SR_ERR;
134                 } while (buf[0] == status1 || buf[0] == status2);
135
136                 devc->wait_status = 2;
137         }
138         if (devc->wait_status == 2) {
139                 do {
140                         if (time(NULL) - start >= 3) {
141                                 sr_dbg("Timeout waiting for trigger");
142                                 return SR_ERR_TIMEOUT;
143                         }
144
145                         if (sr_scpi_get_string(sdi->conn, ":TRIG:STAT?", &buf) != SR_OK)
146                                 return SR_ERR;
147                 } while (buf[0] != status1 && buf[0] != status2);
148
149                 rigol_ds_set_wait_event(devc, WAIT_NONE);
150         }
151
152         return SR_OK;
153 }
154
155 /*
156  * For live capture we need to wait for a new trigger event to ensure that
157  * sample data is not returned twice.
158  *
159  * Unfortunately this will never really work because for sufficiently fast
160  * timebases and trigger rates it just can't catch the status changes.
161  *
162  * What would be needed is a trigger event register with autoreset like the
163  * Agilents have. The Rigols don't seem to have anything like this.
164  *
165  * The workaround is to only wait for the trigger when the timebase is slow
166  * enough. Of course this means that for faster timebases sample data can be
167  * returned multiple times, this effect is mitigated somewhat by sleeping
168  * for about one sweep time in that case.
169  */
170 static int rigol_ds_trigger_wait(const struct sr_dev_inst *sdi)
171 {
172         struct dev_context *devc;
173         long s;
174
175         if (!(devc = sdi->priv))
176                 return SR_ERR;
177
178         /* 
179          * If timebase < 50 msecs/DIV just sleep about one sweep time except
180          * for really fast sweeps.
181          */
182         if (devc->timebase < 0.0499) {
183                 if (devc->timebase > 0.99e-6) {
184                         /*
185                          * Timebase * num hor. divs * 85(%) * 1e6(usecs) / 100
186                          * -> 85 percent of sweep time
187                          */
188                         s = (devc->timebase * devc->model->num_horizontal_divs
189                              * 85e6) / 100L;
190                         sr_spew("Sleeping for %ld usecs instead of trigger-wait", s);
191                         g_usleep(s);
192                 }
193                 rigol_ds_set_wait_event(devc, WAIT_NONE);
194                 return SR_OK;
195         } else {
196                 return rigol_ds_event_wait(sdi, 'T', 'A');
197         }
198 }
199
200 /* Wait for scope to got to "Stop" in single shot mode */
201 static int rigol_ds_stop_wait(const struct sr_dev_inst *sdi)
202 {
203         return rigol_ds_event_wait(sdi, 'S', 'S');
204 }
205
206 /* Check that a single shot acquisition actually succeeded on the DS2000 */
207 static int rigol_ds_check_stop(const struct sr_dev_inst *sdi)
208 {
209         struct dev_context *devc;
210         struct sr_probe *probe;
211         int tmp;
212
213         if (!(devc = sdi->priv))
214                 return SR_ERR;
215
216         probe = devc->channel_entry->data;
217
218         if (sr_scpi_send(sdi->conn, ":WAV:SOUR CHAN%d",
219                           probe->index + 1) != SR_OK)
220                 return SR_ERR;
221         /* Check that the number of samples will be accepted */
222         if (sr_scpi_send(sdi->conn, ":WAV:POIN %d;*OPC", devc->analog_frame_size) != SR_OK)
223                 return SR_ERR;
224         if (sr_scpi_get_int(sdi->conn, "*ESR?", &tmp) != SR_OK)
225                 return SR_ERR;
226         /*
227          * If we get an "Execution error" the scope went from "Single" to
228          * "Stop" without actually triggering. There is no waveform
229          * displayed and trying to download one will fail - the scope thinks
230          * it has 1400 samples (like display memory) and the driver thinks
231          * it has a different number of samples.
232          *
233          * In that case just try to capture something again. Might still
234          * fail in interesting ways.
235          *
236          * Ain't firmware fun?
237          */
238         if (tmp & 0x10) {
239                 sr_warn("Single shot acquisition failed, retrying...");
240                 /* Sleep a bit, otherwise the single shot will often fail */
241                 g_usleep(500000);
242                 sr_scpi_send(sdi->conn, ":SING");
243                 rigol_ds_set_wait_event(devc, WAIT_STOP);
244                 return SR_ERR;
245         }
246
247         return SR_OK;
248 }
249
250 /* Wait for enough data becoming available in scope output buffer */
251 static int rigol_ds_block_wait(const struct sr_dev_inst *sdi)
252 {
253         char *buf;
254         struct dev_context *devc;
255         time_t start;
256         int len;
257
258         if (!(devc = sdi->priv))
259                 return SR_ERR;
260
261         start = time(NULL);
262
263         do {
264                 if (time(NULL) - start >= 3) {
265                         sr_dbg("Timeout waiting for data block");
266                         return SR_ERR_TIMEOUT;
267                 }
268
269                 /*
270                  * The scope copies data really slowly from sample
271                  * memory to its output buffer, so try not to bother
272                  * it too much with SCPI requests but don't wait too
273                  * long for short sample frame sizes.
274                  */
275                 g_usleep(devc->analog_frame_size < 15000 ? 100000 : 1000000);
276
277                 /* "READ,nnnn" (still working) or "IDLE,nnnn" (finished) */
278                 if (sr_scpi_get_string(sdi->conn, ":WAV:STAT?", &buf) != SR_OK)
279                         return SR_ERR;
280
281                 if (parse_int(buf + 5, &len) != SR_OK)
282                         return SR_ERR;
283         } while (buf[0] == 'R' && len < 1000000);
284
285         rigol_ds_set_wait_event(devc, WAIT_NONE);
286
287         return SR_OK;
288 }
289
290 /* Start capturing a new frameset */
291 SR_PRIV int rigol_ds_capture_start(const struct sr_dev_inst *sdi)
292 {
293         struct dev_context *devc;
294
295         if (!(devc = sdi->priv))
296                 return SR_ERR;
297
298         sr_dbg("Starting data capture for frameset %lu of %lu",
299                devc->num_frames + 1, devc->limit_frames);
300
301         if (sr_scpi_send(sdi->conn, ":WAV:FORM BYTE") != SR_OK)
302                 return SR_ERR;
303         if (devc->data_source == DATA_SOURCE_LIVE) {
304                 if (sr_scpi_send(sdi->conn, ":WAV:MODE NORM") != SR_OK)
305                         return SR_ERR;
306                 rigol_ds_set_wait_event(devc, WAIT_TRIGGER);
307         } else {
308                 if (sr_scpi_send(sdi->conn, ":WAV:MODE RAW") != SR_OK)
309                         return SR_ERR;
310                 if (sr_scpi_send(sdi->conn, ":SING", devc->analog_frame_size) != SR_OK)
311                         return SR_ERR;          
312                 rigol_ds_set_wait_event(devc, WAIT_STOP);
313         }
314
315         return SR_OK;
316 }
317
318 /* Start reading data from the current channel */
319 SR_PRIV int rigol_ds_channel_start(const struct sr_dev_inst *sdi)
320 {
321         struct dev_context *devc;
322         struct sr_probe *probe;
323
324         if (!(devc = sdi->priv))
325                 return SR_ERR;
326
327         probe = devc->channel_entry->data;
328
329         sr_dbg("Starting reading data from channel %d", probe->index + 1);
330
331         if (devc->model->series < RIGOL_DS1000Z) {
332                 if (probe->type == SR_PROBE_LOGIC) {
333                         if (sr_scpi_send(sdi->conn, ":WAV:DATA? DIG") != SR_OK)
334                                 return SR_ERR;
335                 } else {
336                         if (sr_scpi_send(sdi->conn, ":WAV:DATA? CHAN%d",
337                                         probe->index + 1) != SR_OK)
338                                 return SR_ERR;
339                 }
340         } else {
341                 if (sr_scpi_send(sdi->conn, ":WAV:SOUR CHAN%d",
342                                   probe->index + 1) != SR_OK)
343                         return SR_ERR;
344                 if (devc->data_source != DATA_SOURCE_LIVE) {
345                         if (sr_scpi_send(sdi->conn, ":WAV:RES") != SR_OK)
346                                 return SR_ERR;
347                         if (sr_scpi_send(sdi->conn, ":WAV:BEG") != SR_OK)
348                                 return SR_ERR;
349                         rigol_ds_set_wait_event(devc, WAIT_BLOCK);
350                 } else
351                         rigol_ds_set_wait_event(devc, WAIT_NONE);
352         }
353
354         devc->num_frame_samples = 0;
355         devc->num_block_bytes = 0;
356
357         return SR_OK;
358 }
359
360 /* Read the header of a data block */
361 static int rigol_ds_read_header(struct sr_scpi_dev_inst *scpi)
362 {
363         char start[3], length[10];
364         int len, tmp;
365
366         /* Read the hashsign and length digit. */
367         tmp = sr_scpi_read_data(scpi, start, 2);
368         start[2] = '\0';
369         if (tmp != 2) {
370                 sr_err("Failed to read first two bytes of data block header.");
371                 return -1;
372         }
373         if (start[0] != '#' || !isdigit(start[1]) || start[1] == '0') {
374                 sr_err("Received invalid data block header start '%s'.", start);
375                 return -1;
376         }
377         len = atoi(start + 1);
378
379         /* Read the data length. */
380         tmp = sr_scpi_read_data(scpi, length, len);
381         length[len] = '\0';
382         if (tmp != len) {
383                 sr_err("Failed to read %d bytes of data block length.", len);
384                 return -1;
385         }
386         if (parse_int(length, &len) != SR_OK) {
387                 sr_err("Received invalid data block length '%s'.", length);
388                 return -1;
389         }
390
391         sr_dbg("Received data block header: %s%s -> block length %d", start, length, len);
392
393         return len;
394 }
395
396 SR_PRIV int rigol_ds_receive(int fd, int revents, void *cb_data)
397 {
398         struct sr_dev_inst *sdi;
399         struct sr_scpi_dev_inst *scpi;
400         struct dev_context *devc;
401         struct sr_datafeed_packet packet;
402         struct sr_datafeed_analog analog;
403         struct sr_datafeed_logic logic;
404         double vdiv, offset;
405         int len, i, vref;
406         struct sr_probe *probe;
407         gsize expected_data_bytes;
408
409         (void)fd;
410
411         if (!(sdi = cb_data))
412                 return TRUE;
413
414         if (!(devc = sdi->priv))
415                 return TRUE;
416
417         scpi = sdi->conn;
418
419         if (revents == G_IO_IN || revents == 0) {
420                 if (devc->model->series >= RIGOL_DS1000Z) {
421                         switch(devc->wait_event) {
422                         case WAIT_NONE:
423                                 break;
424                         case WAIT_TRIGGER:
425                                 if (rigol_ds_trigger_wait(sdi) != SR_OK)
426                                         return TRUE;
427                                 if (rigol_ds_channel_start(sdi) != SR_OK)
428                                         return TRUE;
429                                 break;
430                         case WAIT_BLOCK:
431                                 if (rigol_ds_block_wait(sdi) != SR_OK)
432                                         return TRUE;
433                                 break;
434                         case WAIT_STOP:
435                                 if (rigol_ds_stop_wait(sdi) != SR_OK)
436                                         return TRUE;
437                                 if (rigol_ds_check_stop(sdi) != SR_OK)
438                                         return TRUE;
439                                 if (rigol_ds_channel_start(sdi) != SR_OK)
440                                         return TRUE;
441                                 return TRUE;
442                         default:
443                                 sr_err("BUG: Unknown event target encountered");
444                         }
445                 }
446
447                 probe = devc->channel_entry->data;
448
449                 expected_data_bytes = probe->type == SR_PROBE_ANALOG ?
450                                 devc->analog_frame_size : devc->digital_frame_size;
451                 
452                 if (devc->num_block_bytes == 0 &&
453                     devc->model->series >= RIGOL_DS1000Z) {
454                                 if (sr_scpi_send(sdi->conn, ":WAV:DATA?") != SR_OK)
455                                         return TRUE;
456                 }
457
458                 if (devc->num_block_bytes == 0) {
459
460                         if (sr_scpi_read_begin(scpi) != SR_OK)
461                                 return TRUE;
462
463                         if (devc->model->protocol == PROTOCOL_IEEE488_2) {
464                                 sr_dbg("New block header expected");
465                                 len = rigol_ds_read_header(scpi);
466                                 if (len == -1)
467                                         return TRUE;
468                                 /* At slow timebases in live capture the DS2072
469                                  * sometimes returns "short" data blocks, with
470                                  * apparently no way to get the rest of the data.
471                                  * Discard these, the complete data block will
472                                  * appear eventually.
473                                  */
474                                 if (devc->data_source == DATA_SOURCE_LIVE
475                                                 && (unsigned)len < expected_data_bytes) {
476                                         sr_dbg("Discarding short data block");
477                                         sr_scpi_read_data(scpi, (char *)devc->buffer, len + 1);
478                                         return TRUE;
479                                 }
480                                 devc->num_block_bytes = len;
481                         } else {
482                                 devc->num_block_bytes = expected_data_bytes;
483                         }
484                         devc->num_block_read = 0;
485                 }
486
487                 len = devc->num_block_bytes - devc->num_block_read;
488                 len = sr_scpi_read_data(scpi, (char *)devc->buffer,
489                                 len < ACQ_BUFFER_SIZE ? len : ACQ_BUFFER_SIZE);
490
491                 sr_dbg("Received %d bytes.", len);
492                 if (len == -1)
493                         return TRUE;
494
495                 devc->num_block_read += len;
496
497                 if (devc->num_frame_samples == 0) {
498                         /* Start of a new frame. */
499                         packet.type = SR_DF_FRAME_BEGIN;
500                         sr_session_send(sdi, &packet);
501                 }
502
503                 if (probe->type == SR_PROBE_ANALOG) {
504                         vref = devc->vert_reference[probe->index];
505                         vdiv = devc->vdiv[probe->index] / 25.6;
506                         offset = devc->vert_offset[probe->index];
507                         if (devc->model->series >= RIGOL_DS1000Z)
508                                 for (i = 0; i < len; i++)
509                                         devc->data[i] = ((int)devc->buffer[i] - vref) * vdiv - offset;
510                         else
511                                 for (i = 0; i < len; i++)
512                                         devc->data[i] = (128 - devc->buffer[i]) * vdiv - offset;
513                         analog.probes = g_slist_append(NULL, probe);
514                         analog.num_samples = len;
515                         analog.data = devc->data;
516                         analog.mq = SR_MQ_VOLTAGE;
517                         analog.unit = SR_UNIT_VOLT;
518                         analog.mqflags = 0;
519                         packet.type = SR_DF_ANALOG;
520                         packet.payload = &analog;
521                         sr_session_send(cb_data, &packet);
522                         g_slist_free(analog.probes);
523                 } else {
524                         logic.length = len;
525                         logic.unitsize = 2;
526                         logic.data = devc->buffer;
527                         packet.type = SR_DF_LOGIC;
528                         packet.payload = &logic;
529                         sr_session_send(cb_data, &packet);
530                 }
531
532                 if (devc->num_block_read == devc->num_block_bytes) {
533                         sr_dbg("Block has been completed");
534                         if (devc->model->series >= RIGOL_DS1000Z) {
535                                 /* Discard the terminating linefeed */
536                                 sr_scpi_read_data(scpi, (char *)devc->buffer, 1);
537                         }
538                         if (devc->model->protocol == PROTOCOL_IEEE488_2) {
539                                 /* Prepare for possible next block */
540                                 devc->num_block_bytes = 0;
541                                 if (devc->data_source != DATA_SOURCE_LIVE)
542                                         rigol_ds_set_wait_event(devc, WAIT_BLOCK);
543                         }
544                         if (!sr_scpi_read_complete(scpi)) {
545                                 sr_err("Read should have been completed");
546                                 sdi->driver->dev_acquisition_stop(sdi, cb_data);
547                                 return TRUE;
548                         }
549                         devc->num_block_read = 0;
550                 } else {
551                         sr_dbg("%d of %d block bytes read", devc->num_block_read, devc->num_block_bytes);
552                 }
553
554                 devc->num_frame_samples += len;
555
556                 if (devc->num_frame_samples < expected_data_bytes)
557                         /* Don't have the whole frame yet. */
558                         return TRUE;
559
560                 /* End of the frame. */
561                 sr_dbg("Frame completed, %d samples", devc->num_frame_samples);
562                 packet.type = SR_DF_FRAME_END;
563                 sr_session_send(sdi, &packet);
564                 if (devc->model->series >= RIGOL_DS1000Z) {
565                         /* Signal end of data download to scope */
566                         if (devc->data_source != DATA_SOURCE_LIVE)
567                                 /*
568                                  * This causes a query error, without it switching
569                                  * to the next channel causes an error. Fun with
570                                  * firmware...
571                                  */
572                                 sr_scpi_send(sdi->conn, ":WAV:END");
573                 }
574
575                 if (probe->type == SR_PROBE_ANALOG
576                                 && devc->channel_entry->next != NULL) {
577                         /* We got the frame for this analog channel, but
578                          * there's another analog channel. */
579                         devc->channel_entry = devc->channel_entry->next;
580                         rigol_ds_channel_start(sdi);
581                 } else {
582                         /* Done with all analog channels in this frame. */
583                         if (devc->enabled_digital_probes
584                                         && devc->channel_entry != devc->enabled_digital_probes) {
585                                 /* Now we need to get the digital data. */
586                                 devc->channel_entry = devc->enabled_digital_probes;
587                                 rigol_ds_channel_start(sdi);
588                         } else if (++devc->num_frames == devc->limit_frames) {
589                                 sdi->driver->dev_acquisition_stop(sdi, cb_data);
590                         } else {
591                                 /* Get the next frame, starting with the first analog channel. */
592                                 if (devc->enabled_analog_probes)
593                                         devc->channel_entry = devc->enabled_analog_probes;
594                                 else
595                                         devc->channel_entry = devc->enabled_digital_probes;
596
597                                 if (devc->model->series < RIGOL_DS1000Z)
598                                         rigol_ds_channel_start(sdi);
599                                 else
600                                         rigol_ds_capture_start(sdi);
601                         }
602                 }
603         }
604
605         return TRUE;
606 }
607
608 SR_PRIV int rigol_ds_get_dev_cfg(const struct sr_dev_inst *sdi)
609 {
610         struct dev_context *devc;
611         char *t_s, *cmd;
612         unsigned int i;
613         int res;
614
615         devc = sdi->priv;
616
617         /* Analog channel state. */
618         for (i = 0; i < devc->model->analog_channels; i++) {
619                 cmd = g_strdup_printf(":CHAN%d:DISP?", i + 1);
620                 res = sr_scpi_get_string(sdi->conn, cmd, &t_s);
621                 g_free(cmd);
622                 if (res != SR_OK)
623                         return SR_ERR;
624                 devc->analog_channels[i] = !strcmp(t_s, "ON") || !strcmp(t_s, "1");
625         }
626         sr_dbg("Current analog channel state:");
627         for (i = 0; i < devc->model->analog_channels; i++)
628                 sr_dbg("CH%d %s", i + 1, devc->analog_channels[i] ? "on" : "off");
629
630         /* Digital channel state. */
631         if (devc->model->has_digital) {
632                 if (sr_scpi_get_string(sdi->conn, ":LA:DISP?", &t_s) != SR_OK)
633                         return SR_ERR;
634                 devc->la_enabled = !strcmp(t_s, "ON") ? TRUE : FALSE;
635                 sr_dbg("Logic analyzer %s, current digital channel state:",
636                                 devc->la_enabled ? "enabled" : "disabled");
637                 for (i = 0; i < 16; i++) {
638                         cmd = g_strdup_printf(":DIG%d:TURN?", i);
639                         res = sr_scpi_get_string(sdi->conn, cmd, &t_s);
640                         g_free(cmd);
641                         if (res != SR_OK)
642                                 return SR_ERR;
643                         devc->digital_channels[i] = !strcmp(t_s, "ON") ? TRUE : FALSE;
644                         g_free(t_s);
645                         sr_dbg("D%d: %s", i, devc->digital_channels[i] ? "on" : "off");
646                 }
647         }
648
649         /* Timebase. */
650         if (sr_scpi_get_float(sdi->conn, ":TIM:SCAL?", &devc->timebase) != SR_OK)
651                 return SR_ERR;
652         sr_dbg("Current timebase %g", devc->timebase);
653
654         /* Vertical gain. */
655         for (i = 0; i < devc->model->analog_channels; i++) {
656                 cmd = g_strdup_printf(":CHAN%d:SCAL?", i + 1);
657                 res = sr_scpi_get_float(sdi->conn, cmd, &devc->vdiv[i]);
658                 g_free(cmd);
659                 if (res != SR_OK)
660                         return SR_ERR;
661         }
662         sr_dbg("Current vertical gain:");
663         for (i = 0; i < devc->model->analog_channels; i++)
664                 sr_dbg("CH%d %g", i + 1, devc->vdiv[i]);
665
666         sr_dbg("Current vertical reference:");
667         if (devc->model->series >= RIGOL_DS1000Z) {
668                 /* Vertical reference - not certain if this is the place to read it. */
669                 for (i = 0; i < devc->model->analog_channels; i++) {
670                         if (sr_scpi_send(sdi->conn, ":WAV:SOUR CHAN%d", i + 1) != SR_OK)
671                                 return SR_ERR;
672                         if (sr_scpi_get_int(sdi->conn, ":WAV:YREF?", &devc->vert_reference[i]) != SR_OK)
673                                 return SR_ERR;
674                         sr_dbg("CH%d %d", i + 1, devc->vert_reference[i]);
675                 }
676         }
677
678         /* Vertical offset. */
679         for (i = 0; i < devc->model->analog_channels; i++) {
680                 cmd = g_strdup_printf(":CHAN%d:OFFS?", i + 1);
681                 res = sr_scpi_get_float(sdi->conn, cmd, &devc->vert_offset[i]);
682                 g_free(cmd);
683                 if (res != SR_OK)
684                         return SR_ERR;
685         }
686         sr_dbg("Current vertical offset:");
687         for (i = 0; i < devc->model->analog_channels; i++)
688                 sr_dbg("CH%d %g", i + 1, devc->vert_offset[i]);
689
690         /* Coupling. */
691         for (i = 0; i < devc->model->analog_channels; i++) {
692                 cmd = g_strdup_printf(":CHAN%d:COUP?", i + 1);
693                 res = sr_scpi_get_string(sdi->conn, cmd, &devc->coupling[i]);
694                 g_free(cmd);
695                 if (res != SR_OK)
696                         return SR_ERR;
697         }
698         sr_dbg("Current coupling:");
699         for (i = 0; i < devc->model->analog_channels; i++)
700                 sr_dbg("CH%d %s", i + 1, devc->coupling[i]);
701
702         /* Trigger source. */
703         if (sr_scpi_get_string(sdi->conn, ":TRIG:EDGE:SOUR?", &devc->trigger_source) != SR_OK)
704                 return SR_ERR;
705         sr_dbg("Current trigger source %s", devc->trigger_source);
706
707         /* Horizontal trigger position. */
708         if (sr_scpi_get_float(sdi->conn, ":TIM:OFFS?", &devc->horiz_triggerpos) != SR_OK)
709                 return SR_ERR;
710         sr_dbg("Current horizontal trigger position %g", devc->horiz_triggerpos);
711
712         /* Trigger slope. */
713         if (sr_scpi_get_string(sdi->conn, ":TRIG:EDGE:SLOP?", &devc->trigger_slope) != SR_OK)
714                 return SR_ERR;
715         sr_dbg("Current trigger slope %s", devc->trigger_slope);
716
717         return SR_OK;
718 }