]> sigrok.org Git - libsigrok.git/blame - src/hardware/pipistrello-ols/protocol.c
ftdi: address ftdi_usb_purge_buffers() deprecation in libftdi 1.5
[libsigrok.git] / src / hardware / pipistrello-ols / protocol.c
CommitLineData
4bd80e12 1/*
2 * This file is part of the libsigrok project.
3 *
4 * Copyright (C) 2013 Bert Vermeulen <bert@biot.com>
5 *
6 * This program is free software: you can redistribute it and/or modify
7 * it under the terms of the GNU General Public License as published by
8 * the Free Software Foundation, either version 3 of the License, or
9 * (at your option) any later version.
10 *
11 * This program is distributed in the hope that it will be useful,
12 * but WITHOUT ANY WARRANTY; without even the implied warranty of
13 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
14 * GNU General Public License for more details.
15 *
16 * You should have received a copy of the GNU General Public License
17 * along with this program. If not, see <http://www.gnu.org/licenses/>.
18 */
19
6ec6c43b 20#include <config.h>
4bd80e12 21#include "protocol.h"
22
4bd80e12 23SR_PRIV int write_shortcommand(struct dev_context *devc, uint8_t command)
24{
25 uint8_t buf[1];
26 int bytes_written;
27
28 sr_dbg("Sending cmd 0x%.2x.", command);
29 buf[0] = command;
30 bytes_written = ftdi_write_data(devc->ftdic, buf, 1);
31 if (bytes_written < 0) {
32 sr_err("Failed to write FTDI data (%d): %s.",
33 bytes_written, ftdi_get_error_string(devc->ftdic));
34 return SR_ERR;
35 } else if (bytes_written != 1) {
36 sr_err("FTDI write error, only %d/%d bytes written: %s.",
37 bytes_written, 1, ftdi_get_error_string(devc->ftdic));
38 return SR_ERR;
39 }
40
41 return SR_OK;
42}
43
44SR_PRIV int write_longcommand(struct dev_context *devc, uint8_t command, uint8_t *data)
45{
46 uint8_t buf[5];
47 int bytes_written;
48
49 sr_dbg("Sending cmd 0x%.2x data 0x%.2x%.2x%.2x%.2x.", command,
50 data[0], data[1], data[2], data[3]);
51 buf[0] = command;
52 buf[1] = data[0];
53 buf[2] = data[1];
54 buf[3] = data[2];
55 buf[4] = data[3];
56 bytes_written = ftdi_write_data(devc->ftdic, buf, 5);
57 if (bytes_written < 0) {
58 sr_err("Failed to write FTDI data (%d): %s.",
59 bytes_written, ftdi_get_error_string(devc->ftdic));
60 return SR_ERR;
61 } else if (bytes_written != 5) {
62 sr_err("FTDI write error, only %d/%d bytes written: %s.",
63 bytes_written, 1, ftdi_get_error_string(devc->ftdic));
64 return SR_ERR;
65 }
66
67 return SR_OK;
68}
69
70SR_PRIV int p_ols_open(struct dev_context *devc)
71{
72 int ret;
73
74 /* Note: Caller checks devc and devc->ftdic. */
75
4bd80e12 76 ret = ftdi_set_interface(devc->ftdic, INTERFACE_B);
77 if (ret < 0) {
78 sr_err("Failed to set FTDI interface B (%d): %s", ret,
79 ftdi_get_error_string(devc->ftdic));
80 return SR_ERR;
81 }
4bd80e12 82
4bd80e12 83 ret = ftdi_usb_open_desc(devc->ftdic, USB_VENDOR_ID, USB_DEVICE_ID,
84 USB_IPRODUCT, NULL);
85 if (ret < 0) {
86 /* Log errors, except for -3 ("device not found"). */
87 if (ret != -3)
88 sr_err("Failed to open device (%d): %s", ret,
89 ftdi_get_error_string(devc->ftdic));
90 return SR_ERR;
91 }
4bd80e12 92
e1a712ca 93 if ((ret = PURGE_FTDI_BOTH(devc->ftdic)) < 0) {
4bd80e12 94 sr_err("Failed to purge FTDI RX/TX buffers (%d): %s.",
95 ret, ftdi_get_error_string(devc->ftdic));
96 goto err_open_close_ftdic;
97 }
4bd80e12 98
4bd80e12 99 ret = ftdi_set_bitmode(devc->ftdic, 0xff, BITMODE_RESET);
100 if (ret < 0) {
101 sr_err("Failed to reset the FTDI chip bitmode (%d): %s.",
102 ret, ftdi_get_error_string(devc->ftdic));
103 goto err_open_close_ftdic;
104 }
4bd80e12 105
4bd80e12 106 ret = ftdi_set_latency_timer(devc->ftdic, 16);
107 if (ret < 0) {
108 sr_err("Failed to set FTDI latency timer (%d): %s.",
109 ret, ftdi_get_error_string(devc->ftdic));
110 goto err_open_close_ftdic;
111 }
4bd80e12 112
4bd80e12 113 ret = ftdi_read_data_set_chunksize(devc->ftdic, 64 * 1024);
114 if (ret < 0) {
115 sr_err("Failed to set FTDI read data chunk size (%d): %s.",
116 ret, ftdi_get_error_string(devc->ftdic));
117 goto err_open_close_ftdic;
118 }
176d785d 119
4bd80e12 120 return SR_OK;
121
122err_open_close_ftdic:
1f9bcd0f 123 ftdi_usb_close(devc->ftdic);
7e463623 124
4bd80e12 125 return SR_ERR;
126}
127
128SR_PRIV int p_ols_close(struct dev_context *devc)
129{
130 int ret;
131
132 /* Note: Caller checks devc and devc->ftdic. */
133
134 if ((ret = ftdi_usb_close(devc->ftdic)) < 0) {
135 sr_err("Failed to close FTDI device (%d): %s.",
136 ret, ftdi_get_error_string(devc->ftdic));
137 return SR_ERR;
138 }
139
140 return SR_OK;
141}
142
acc885c7
BV
143/* Configures the channel mask based on which channels are enabled. */
144SR_PRIV void pols_channel_mask(const struct sr_dev_inst *sdi)
4bd80e12 145{
146 struct dev_context *devc;
acc885c7 147 struct sr_channel *channel;
4bd80e12 148 const GSList *l;
4bd80e12 149
150 devc = sdi->priv;
151
152 devc->channel_mask = 0;
acc885c7
BV
153 for (l = sdi->channels; l; l = l->next) {
154 channel = l->data;
155 if (channel->enabled)
156 devc->channel_mask |= 1 << channel->index;
157 }
158}
159
160SR_PRIV int pols_convert_trigger(const struct sr_dev_inst *sdi)
161{
162 struct dev_context *devc;
163 struct sr_trigger *trigger;
164 struct sr_trigger_stage *stage;
165 struct sr_trigger_match *match;
166 const GSList *l, *m;
167 int i;
168
169 devc = sdi->priv;
170
171 devc->num_stages = 0;
4bd80e12 172 for (i = 0; i < NUM_TRIGGER_STAGES; i++) {
173 devc->trigger_mask[i] = 0;
174 devc->trigger_value[i] = 0;
1e0de846 175 devc->trigger_edge[i] = 0;
4bd80e12 176 }
177
acc885c7
BV
178 if (!(trigger = sr_session_trigger_get(sdi->session)))
179 return SR_OK;
4bd80e12 180
acc885c7
BV
181 devc->num_stages = g_slist_length(trigger->stages);
182 if (devc->num_stages > NUM_TRIGGER_STAGES) {
183 sr_err("This device only supports %d trigger stages.",
184 NUM_TRIGGER_STAGES);
185 return SR_ERR;
186 }
4bd80e12 187
acc885c7
BV
188 for (l = trigger->stages; l; l = l->next) {
189 stage = l->data;
190 for (m = stage->matches; m; m = m->next) {
191 match = m->data;
192 if (!match->channel->enabled)
193 /* Ignore disabled channels with a trigger. */
194 continue;
195 devc->trigger_mask[stage->stage] |= 1 << match->channel->index;
196 if (match->match == SR_TRIGGER_ONE || match->match == SR_TRIGGER_RISING)
197 devc->trigger_value[stage->stage] |= 1 << match->channel->index;
198 if (match->match == SR_TRIGGER_RISING || match->match == SR_TRIGGER_FALLING)
199 devc->trigger_edge[stage->stage] |= 1 << match->channel->index;
4bd80e12 200 }
4bd80e12 201 }
202
203 return SR_OK;
204}
205
206SR_PRIV struct sr_dev_inst *p_ols_get_metadata(uint8_t *buf, int bytes_read, struct dev_context *devc)
207{
208 struct sr_dev_inst *sdi;
4bd80e12 209 uint32_t tmp_int, ui;
210 uint8_t key, type, token;
211 GString *tmp_str, *devname, *version;
212 guchar tmp_c;
4389a542 213 int index;
4bd80e12 214
aac29cc1 215 sdi = g_malloc0(sizeof(struct sr_dev_inst));
0af636be 216 sdi->status = SR_ST_INACTIVE;
4bd80e12 217 sdi->priv = devc;
218
219 devname = g_string_new("");
220 version = g_string_new("");
221
222 index = 0;
223 while (index < bytes_read) {
224 key = buf[index++];
225 if (key == 0x00) {
226 sr_dbg("Got metadata key 0x00, metadata ends.");
227 break;
228 }
229 type = key >> 5;
230 token = key & 0x1f;
231 switch (type) {
232 case 0:
233 /* NULL-terminated string */
234 tmp_str = g_string_new("");
235 while ((index < bytes_read) && ((tmp_c = buf[index++]) != '\0'))
236 g_string_append_c(tmp_str, tmp_c);
237 sr_dbg("Got metadata key 0x%.2x value '%s'.",
238 key, tmp_str->str);
239 switch (token) {
240 case 0x01:
241 /* Device name */
242 devname = g_string_append(devname, tmp_str->str);
243 break;
244 case 0x02:
245 /* FPGA firmware version */
246 if (version->len)
247 g_string_append(version, ", ");
248 g_string_append(version, "FPGA version ");
249 g_string_append(version, tmp_str->str);
250 break;
251 case 0x03:
252 /* Ancillary version */
253 if (version->len)
254 g_string_append(version, ", ");
255 g_string_append(version, "Ancillary version ");
256 g_string_append(version, tmp_str->str);
257 break;
258 default:
259 sr_info("Unknown token 0x%.2x: '%s'",
260 token, tmp_str->str);
261 break;
262 }
263 g_string_free(tmp_str, TRUE);
264 break;
265 case 1:
266 /* 32-bit unsigned integer */
4389a542
GS
267 tmp_int = RB32(&buf[index]);
268 index += sizeof(uint32_t);
4bd80e12 269 sr_dbg("Got metadata key 0x%.2x value 0x%.8x.",
270 key, tmp_int);
271 switch (token) {
272 case 0x00:
273 /* Number of usable channels */
5e23fcab
ML
274 for (ui = 0; ui < tmp_int; ui++)
275 sr_channel_new(sdi, ui, SR_CHANNEL_LOGIC, TRUE,
c368e6f3 276 p_ols_channel_names[ui]);
4bd80e12 277 break;
278 case 0x01:
279 /* Amount of sample memory available (bytes) */
b94cff40 280 devc->max_samplebytes = tmp_int;
4bd80e12 281 break;
282 case 0x02:
283 /* Amount of dynamic memory available (bytes) */
284 /* what is this for? */
285 break;
286 case 0x03:
f3f19d11 287 /* Maximum sample rate (Hz) */
4bd80e12 288 devc->max_samplerate = tmp_int;
289 break;
290 case 0x04:
291 /* protocol version */
292 devc->protocol_version = tmp_int;
293 break;
294 default:
295 sr_info("Unknown token 0x%.2x: 0x%.8x.",
296 token, tmp_int);
297 break;
298 }
299 break;
300 case 2:
301 /* 8-bit unsigned integer */
302 tmp_c = buf[index++];
303 sr_dbg("Got metadata key 0x%.2x value 0x%.2x.",
304 key, tmp_c);
305 switch (token) {
306 case 0x00:
307 /* Number of usable channels */
5e23fcab
ML
308 for (ui = 0; ui < tmp_c; ui++)
309 sr_channel_new(sdi, ui, SR_CHANNEL_LOGIC, TRUE,
c368e6f3 310 p_ols_channel_names[ui]);
4bd80e12 311 break;
312 case 0x01:
313 /* protocol version */
314 devc->protocol_version = tmp_c;
315 break;
316 default:
317 sr_info("Unknown token 0x%.2x: 0x%.2x.",
318 token, tmp_c);
319 break;
320 }
321 break;
322 default:
323 /* unknown type */
324 break;
325 }
326 }
327
328 sdi->model = devname->str;
329 sdi->version = version->str;
330 g_string_free(devname, FALSE);
331 g_string_free(version, FALSE);
332
333 return sdi;
334}
335
336SR_PRIV int p_ols_set_samplerate(const struct sr_dev_inst *sdi,
337 const uint64_t samplerate)
338{
339 struct dev_context *devc;
340
341 devc = sdi->priv;
342 if (devc->max_samplerate && samplerate > devc->max_samplerate)
343 return SR_ERR_SAMPLERATE;
344
345 if (samplerate > CLOCK_RATE) {
346 sr_info("Enabling demux mode.");
347 devc->flag_reg |= FLAG_DEMUX;
348 devc->flag_reg &= ~FLAG_FILTER;
349 devc->max_channels = NUM_CHANNELS / 2;
350 devc->cur_samplerate_divider = (CLOCK_RATE * 2 / samplerate) - 1;
351 } else {
352 sr_info("Disabling demux mode.");
353 devc->flag_reg &= ~FLAG_DEMUX;
354 devc->flag_reg |= FLAG_FILTER;
355 devc->max_channels = NUM_CHANNELS;
356 devc->cur_samplerate_divider = (CLOCK_RATE / samplerate) - 1;
357 }
358
359 /* Calculate actual samplerate used and complain if it is different
360 * from the requested.
361 */
362 devc->cur_samplerate = CLOCK_RATE / (devc->cur_samplerate_divider + 1);
363 if (devc->flag_reg & FLAG_DEMUX)
364 devc->cur_samplerate *= 2;
365 if (devc->cur_samplerate != samplerate)
366 sr_info("Can't match samplerate %" PRIu64 ", using %"
367 PRIu64 ".", samplerate, devc->cur_samplerate);
368
369 return SR_OK;
370}
371
4bd80e12 372SR_PRIV int p_ols_receive_data(int fd, int revents, void *cb_data)
373{
374 struct dev_context *devc;
375 struct sr_dev_inst *sdi;
376 struct sr_datafeed_packet packet;
377 struct sr_datafeed_logic logic;
378 uint32_t sample;
379 int num_channels, offset, j;
380 int bytes_read, index;
381 unsigned int i;
382 unsigned char byte;
383
384 (void)fd;
385 (void)revents;
386
387 sdi = cb_data;
388 devc = sdi->priv;
389
390 if (devc->num_transfers++ == 0) {
391 devc->raw_sample_buf = g_try_malloc(devc->limit_samples * 4);
392 if (!devc->raw_sample_buf) {
393 sr_err("Sample buffer malloc failed.");
394 return FALSE;
395 }
396 /* fill with 1010... for debugging */
397 memset(devc->raw_sample_buf, 0x82, devc->limit_samples * 4);
398 }
399
b94cff40 400 if ((devc->num_samples < devc->limit_samples) && (devc->cnt_samples < devc->max_samples)) {
4bd80e12 401
402 num_channels = 0;
403 for (i = NUM_CHANNELS; i > 0x02; i /= 2) {
404 if ((devc->flag_reg & i) == 0) {
405 num_channels++;
406 }
407 }
408
409 /* Get a block of data. */
410 bytes_read = ftdi_read_data(devc->ftdic, devc->ftdi_buf, FTDI_BUF_SIZE);
411 if (bytes_read < 0) {
412 sr_err("Failed to read FTDI data (%d): %s.",
413 bytes_read, ftdi_get_error_string(devc->ftdic));
d2f7c417 414 sr_dev_acquisition_stop(sdi);
4bd80e12 415 return FALSE;
416 }
417 if (bytes_read == 0) {
418 sr_spew("Received 0 bytes, nothing to do.");
419 return TRUE;
420 }
421
422 sr_dbg("Received %d bytes", bytes_read);
423
424 index = 0;
425 while (index < bytes_read) {
426 byte = devc->ftdi_buf[index++];
427 devc->cnt_bytes++;
428
429 devc->sample[devc->num_bytes++] = byte;
430 sr_spew("Received byte 0x%.2x.", byte);
b94cff40 431
432 if ((devc->flag_reg & FLAG_DEMUX) && (devc->flag_reg & FLAG_RLE)) {
176d785d 433 /* RLE in demux mode must be processed differently
b94cff40 434 * since in this case the RLE encoder is operating on pairs of samples.
435 */
436 if (devc->num_bytes == num_channels * 2) {
437 devc->cnt_samples += 2;
438 devc->cnt_samples_rle += 2;
4bd80e12 439 /*
b94cff40 440 * Got a sample pair. Convert from the OLS's little-endian
441 * sample to the local format.
442 */
443 sample = devc->sample[0] | (devc->sample[1] << 8) \
444 | (devc->sample[2] << 16) | (devc->sample[3] << 24);
445 sr_spew("Received sample pair 0x%.*x.", devc->num_bytes * 2, sample);
446
447 /*
448 * In RLE mode the high bit of the sample pair is the
449 * "count" flag, meaning this sample pair is the number
450 * of times the previous sample pair occurred.
4bd80e12 451 */
452 if (devc->sample[devc->num_bytes - 1] & 0x80) {
453 /* Clear the high bit. */
454 sample &= ~(0x80 << (devc->num_bytes - 1) * 8);
455 devc->rle_count = sample;
b94cff40 456 devc->cnt_samples_rle += devc->rle_count * 2;
457 sr_dbg("RLE count: %u.", devc->rle_count * 2);
4bd80e12 458 devc->num_bytes = 0;
459 continue;
460 }
b94cff40 461 devc->num_samples += (devc->rle_count + 1) * 2;
462 if (devc->num_samples > devc->limit_samples) {
463 /* Save us from overrunning the buffer. */
464 devc->rle_count -= (devc->num_samples - devc->limit_samples) / 2;
465 devc->num_samples = devc->limit_samples;
466 index = bytes_read;
467 }
4bd80e12 468
4bd80e12 469 /*
470 * Some channel groups may have been turned
471 * off, to speed up transfer between the
472 * hardware and the PC. Expand that here before
473 * submitting it over the session bus --
474 * whatever is listening on the bus will be
475 * expecting a full 32-bit sample, based on
476 * the number of channels.
477 */
478 j = 0;
b94cff40 479 /* expand first sample */
4bd80e12 480 memset(devc->tmp_sample, 0, 4);
b94cff40 481 for (i = 0; i < 2; i++) {
4bd80e12 482 if (((devc->flag_reg >> 2) & (1 << i)) == 0) {
483 /*
484 * This channel group was
485 * enabled, copy from received
486 * sample.
487 */
488 devc->tmp_sample[i] = devc->sample[j++];
176d785d 489 }
4bd80e12 490 }
b94cff40 491 /* Clear out the most significant bit of the sample */
492 devc->tmp_sample[devc->num_bytes - 1] &= 0x7f;
6433156c
DE
493 sr_spew("Expanded sample 1: 0x%.2x%.2x%.2x%.2x.",
494 devc->tmp_sample[3], devc->tmp_sample[2],
495 devc->tmp_sample[1], devc->tmp_sample[0]);
b94cff40 496
497 /* expand second sample */
498 memset(devc->tmp_sample2, 0, 4);
499 for (i = 0; i < 2; i++) {
500 if (((devc->flag_reg >> 2) & (1 << i)) == 0) {
501 /*
502 * This channel group was
503 * enabled, copy from received
504 * sample.
505 */
506 devc->tmp_sample2[i] = devc->sample[j++];
176d785d 507 }
b94cff40 508 }
509 /* Clear out the most significant bit of the sample */
510 devc->tmp_sample2[devc->num_bytes - 1] &= 0x7f;
6433156c
DE
511 sr_spew("Expanded sample 2: 0x%.2x%.2x%.2x%.2x.",
512 devc->tmp_sample2[3], devc->tmp_sample2[2],
513 devc->tmp_sample2[1], devc->tmp_sample2[0]);
b94cff40 514
515 /*
516 * OLS sends its sample buffer backwards.
517 * store it in reverse order here, so we can dump
518 * this on the session bus later.
519 */
520 offset = (devc->limit_samples - devc->num_samples) * 4;
521 for (i = 0; i <= devc->rle_count; i++) {
522 memcpy(devc->raw_sample_buf + offset + (i * 8),
523 devc->tmp_sample2, 4);
524 memcpy(devc->raw_sample_buf + offset + (4 + (i * 8)),
525 devc->tmp_sample, 4);
526 }
527 memset(devc->sample, 0, 4);
528 devc->num_bytes = 0;
529 devc->rle_count = 0;
4bd80e12 530 }
b94cff40 531 }
532 else {
533 if (devc->num_bytes == num_channels) {
534 devc->cnt_samples++;
535 devc->cnt_samples_rle++;
536 /*
537 * Got a full sample. Convert from the OLS's little-endian
538 * sample to the local format.
539 */
540 sample = devc->sample[0] | (devc->sample[1] << 8) \
541 | (devc->sample[2] << 16) | (devc->sample[3] << 24);
542 sr_spew("Received sample 0x%.*x.", devc->num_bytes * 2, sample);
543 if (devc->flag_reg & FLAG_RLE) {
544 /*
545 * In RLE mode the high bit of the sample is the
546 * "count" flag, meaning this sample is the number
547 * of times the previous sample occurred.
548 */
549 if (devc->sample[devc->num_bytes - 1] & 0x80) {
550 /* Clear the high bit. */
551 sample &= ~(0x80 << (devc->num_bytes - 1) * 8);
552 devc->rle_count = sample;
553 devc->cnt_samples_rle += devc->rle_count;
554 sr_dbg("RLE count: %u.", devc->rle_count);
555 devc->num_bytes = 0;
556 continue;
557 }
558 }
559 devc->num_samples += devc->rle_count + 1;
560 if (devc->num_samples > devc->limit_samples) {
561 /* Save us from overrunning the buffer. */
562 devc->rle_count -= devc->num_samples - devc->limit_samples;
563 devc->num_samples = devc->limit_samples;
564 index = bytes_read;
565 }
566
567 if (num_channels < 4) {
568 /*
569 * Some channel groups may have been turned
570 * off, to speed up transfer between the
571 * hardware and the PC. Expand that here before
572 * submitting it over the session bus --
573 * whatever is listening on the bus will be
574 * expecting a full 32-bit sample, based on
575 * the number of channels.
576 */
577 j = 0;
578 memset(devc->tmp_sample, 0, 4);
579 for (i = 0; i < 4; i++) {
580 if (((devc->flag_reg >> 2) & (1 << i)) == 0) {
581 /*
582 * This channel group was
583 * enabled, copy from received
584 * sample.
585 */
586 devc->tmp_sample[i] = devc->sample[j++];
176d785d 587 }
b94cff40 588 }
589 memcpy(devc->sample, devc->tmp_sample, 4);
590 sr_spew("Expanded sample: 0x%.8x.", sample);
591 }
4bd80e12 592
b94cff40 593 /*
594 * Pipistrello OLS sends its sample buffer backwards.
595 * store it in reverse order here, so we can dump
596 * this on the session bus later.
597 */
598 offset = (devc->limit_samples - devc->num_samples) * 4;
599 for (i = 0; i <= devc->rle_count; i++) {
600 memcpy(devc->raw_sample_buf + offset + (i * 4),
601 devc->sample, 4);
602 }
603 memset(devc->sample, 0, 4);
604 devc->num_bytes = 0;
605 devc->rle_count = 0;
4bd80e12 606 }
4bd80e12 607 }
608 }
609 return TRUE;
610 } else {
dc89faea
UH
611 do {
612 bytes_read = ftdi_read_data(devc->ftdic, devc->ftdi_buf, FTDI_BUF_SIZE);
613 } while (bytes_read > 0);
b94cff40 614
4bd80e12 615 /*
616 * We've acquired all the samples we asked for -- we're done.
617 * Send the (properly-ordered) buffer to the frontend.
618 */
619 sr_dbg("Received %d bytes, %d samples, %d decompressed samples.",
620 devc->cnt_bytes, devc->cnt_samples,
621 devc->cnt_samples_rle);
622 if (devc->trigger_at != -1) {
623 /*
624 * A trigger was set up, so we need to tell the frontend
625 * about it.
626 */
627 if (devc->trigger_at > 0) {
628 /* There are pre-trigger samples, send those first. */
629 packet.type = SR_DF_LOGIC;
630 packet.payload = &logic;
631 logic.length = devc->trigger_at * 4;
632 logic.unitsize = 4;
633 logic.data = devc->raw_sample_buf +
634 (devc->limit_samples - devc->num_samples) * 4;
695dc859 635 sr_session_send(sdi, &packet);
4bd80e12 636 }
637
638 /* Send the trigger. */
0fa71943 639 std_session_send_df_trigger(sdi);
4bd80e12 640
641 /* Send post-trigger samples. */
642 packet.type = SR_DF_LOGIC;
643 packet.payload = &logic;
644 logic.length = (devc->num_samples * 4) - (devc->trigger_at * 4);
645 logic.unitsize = 4;
646 logic.data = devc->raw_sample_buf + devc->trigger_at * 4 +
647 (devc->limit_samples - devc->num_samples) * 4;
695dc859 648 sr_session_send(sdi, &packet);
4bd80e12 649 } else {
650 /* no trigger was used */
651 packet.type = SR_DF_LOGIC;
652 packet.payload = &logic;
653 logic.length = devc->num_samples * 4;
654 logic.unitsize = 4;
655 logic.data = devc->raw_sample_buf +
656 (devc->limit_samples - devc->num_samples) * 4;
695dc859 657 sr_session_send(sdi, &packet);
4bd80e12 658 }
659 g_free(devc->raw_sample_buf);
660
d2f7c417 661 sr_dev_acquisition_stop(sdi);
4bd80e12 662 }
663
664 return TRUE;
665}