]> sigrok.org Git - libsigrok.git/blame - src/hardware/fx2lafw/api.c
fx2lafw: Use wide_sampling only if necessary.
[libsigrok.git] / src / hardware / fx2lafw / api.c
CommitLineData
f302a082 1/*
50985c20 2 * This file is part of the libsigrok project.
f302a082 3 *
13d8e03c 4 * Copyright (C) 2013 Bert Vermeulen <bert@biot.com>
f302a082
JH
5 * Copyright (C) 2012 Joel Holdsworth <joel@airwebreathe.org.uk>
6 *
7 * This program is free software: you can redistribute it and/or modify
8 * it under the terms of the GNU General Public License as published by
9 * the Free Software Foundation, either version 3 of the License, or
10 * (at your option) any later version.
11 *
12 * This program is distributed in the hope that it will be useful,
13 * but WITHOUT ANY WARRANTY; without even the implied warranty of
14 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
15 * GNU General Public License for more details.
16 *
17 * You should have received a copy of the GNU General Public License
18 * along with this program. If not, see <http://www.gnu.org/licenses/>.
19 */
20
6ec6c43b 21#include <config.h>
2f937611 22#include "protocol.h"
b9d53092 23#include "dslogic.h"
3fc3fbe4 24#include <math.h>
f302a082 25
4679d14d 26static const struct fx2lafw_profile supported_fx2[] = {
7ae2f9d5
UH
27 /*
28 * CWAV USBee AX
17b6c75a 29 * EE Electronics ESLA201A
9f05304e 30 * ARMFLY AX-Pro
17b6c75a 31 */
f8b07fc6 32 { 0x08a9, 0x0014, "CWAV", "USBee AX", NULL,
8e2d6c9d 33 "fx2lafw-cwav-usbeeax.fw",
232a975f 34 DEV_CAPS_AX_ANALOG, NULL, NULL},
0e8d0e24
IF
35 /*
36 * CWAV USBee DX
37 * XZL-Studio DX
38 */
39 { 0x08a9, 0x0015, "CWAV", "USBee DX", NULL,
8e2d6c9d 40 "fx2lafw-cwav-usbeedx.fw",
e826239c 41 DEV_CAPS_16BIT, NULL, NULL },
93a9f3da 42
7ae2f9d5
UH
43 /*
44 * CWAV USBee SX
4502e869
JH
45 */
46 { 0x08a9, 0x0009, "CWAV", "USBee SX", NULL,
8e2d6c9d 47 "fx2lafw-cwav-usbeesx.fw",
e826239c 48 0, NULL, NULL},
4502e869 49
2f4b0f6a
T
50 /*
51 * CWAV USBee ZX
52 */
53 { 0x08a9, 0x0005, "CWAV", "USBee ZX", NULL,
54 "fx2lafw-cwav-usbeezx.fw",
55 0, NULL, NULL},
56
b7c53d48 57 /* DreamSourceLab DSLogic (before FW upload) */
a7d7f93c 58 { 0x2a0e, 0x0001, "DreamSourceLab", "DSLogic", NULL,
8e2d6c9d 59 "dreamsourcelab-dslogic-fx2.fw",
a7d7f93c 60 DEV_CAPS_16BIT, NULL, NULL},
b7c53d48 61 /* DreamSourceLab DSLogic (after FW upload) */
a7d7f93c 62 { 0x2a0e, 0x0001, "DreamSourceLab", "DSLogic", NULL,
8e2d6c9d 63 "dreamsourcelab-dslogic-fx2.fw",
a7d7f93c
BV
64 DEV_CAPS_16BIT, "DreamSourceLab", "DSLogic"},
65
b7c53d48
DG
66 /* DreamSourceLab DSCope (before FW upload) */
67 { 0x2a0e, 0x0002, "DreamSourceLab", "DSCope", NULL,
8e2d6c9d 68 "dreamsourcelab-dscope-fx2.fw",
b7c53d48
DG
69 DEV_CAPS_16BIT, NULL, NULL},
70 /* DreamSourceLab DSCope (after FW upload) */
71 { 0x2a0e, 0x0002, "DreamSourceLab", "DSCope", NULL,
8e2d6c9d 72 "dreamsourcelab-dscope-fx2.fw",
b7c53d48
DG
73 DEV_CAPS_16BIT, "DreamSourceLab", "DSCope"},
74
75 /* DreamSourceLab DSLogic Pro (before FW upload) */
76 { 0x2a0e, 0x0003, "DreamSourceLab", "DSLogic Pro", NULL,
8e2d6c9d 77 "dreamsourcelab-dslogic-pro-fx2.fw",
b7c53d48
DG
78 DEV_CAPS_16BIT, NULL, NULL},
79 /* DreamSourceLab DSLogic Pro (after FW upload) */
80 { 0x2a0e, 0x0003, "DreamSourceLab", "DSLogic Pro", NULL,
8e2d6c9d 81 "dreamsourcelab-dslogic-pro-fx2.fw",
b7c53d48
DG
82 DEV_CAPS_16BIT, "DreamSourceLab", "DSLogic"},
83
7ae2f9d5
UH
84 /*
85 * Saleae Logic
93a9f3da
JH
86 * EE Electronics ESLA100
87 * Robomotic MiniLogic
1663e470 88 * Robomotic BugLogic 3
93a9f3da
JH
89 */
90 { 0x0925, 0x3881, "Saleae", "Logic", NULL,
8e2d6c9d 91 "fx2lafw-saleae-logic.fw",
e826239c 92 0, NULL, NULL},
93a9f3da 93
f488762a 94 /*
1663e470
UH
95 * Default Cypress FX2 without EEPROM, e.g.:
96 * Lcsoft Mini Board
97 * Braintechnology USB Interface V2.x
f488762a
JH
98 */
99 { 0x04B4, 0x8613, "Cypress", "FX2", NULL,
8e2d6c9d 100 "fx2lafw-cypress-fx2.fw",
e826239c 101 DEV_CAPS_16BIT, NULL, NULL },
f488762a 102
1663e470
UH
103 /*
104 * Braintechnology USB-LPS
105 */
106 { 0x16d0, 0x0498, "Braintechnology", "USB-LPS", NULL,
8e2d6c9d 107 "fx2lafw-braintechnology-usb-lps.fw",
e826239c 108 DEV_CAPS_16BIT, NULL, NULL },
1663e470 109
3e91de2b
UH
110 /*
111 * sigrok FX2 based 8-channel logic analyzer
112 */
113 { 0x1d50, 0x608c, "sigrok", "FX2 LA (8ch)", NULL,
087c4d59 114 "fx2lafw-sigrok-fx2-8ch.fw",
3e91de2b
UH
115 0, NULL, NULL},
116
117 /*
118 * sigrok FX2 based 16-channel logic analyzer
119 */
120 { 0x1d50, 0x608d, "sigrok", "FX2 LA (16ch)", NULL,
087c4d59 121 "fx2lafw-sigrok-fx2-16ch.fw",
3e91de2b
UH
122 DEV_CAPS_16BIT, NULL, NULL },
123
1b4aedc0 124 ALL_ZERO
187b3582
JH
125};
126
ff6b76a1
BV
127static const uint32_t drvopts[] = {
128 SR_CONF_LOGIC_ANALYZER,
129};
130
a0e0bb41 131static const uint32_t scanopts[] = {
89befd46
BV
132 SR_CONF_CONN,
133};
134
f254bc4b 135static const uint32_t devopts[] = {
e91bb0a6 136 SR_CONF_CONTINUOUS,
ff6b76a1 137 SR_CONF_LIMIT_SAMPLES | SR_CONF_GET | SR_CONF_SET,
5827f61b
BV
138 SR_CONF_CONN | SR_CONF_GET,
139 SR_CONF_SAMPLERATE | SR_CONF_GET | SR_CONF_SET | SR_CONF_LIST,
5827f61b 140 SR_CONF_TRIGGER_MATCH | SR_CONF_LIST,
7bfcb25c 141 SR_CONF_CAPTURE_RATIO | SR_CONF_GET | SR_CONF_SET,
8b35f474
JH
142};
143
3fc3fbe4 144static const uint32_t dslogic_devopts[] = {
41dc2547 145 SR_CONF_CONTINUOUS | SR_CONF_SET | SR_CONF_GET,
3fc3fbe4
DA
146 SR_CONF_LIMIT_SAMPLES | SR_CONF_GET | SR_CONF_SET,
147 SR_CONF_VOLTAGE_THRESHOLD | SR_CONF_GET | SR_CONF_SET | SR_CONF_LIST,
148 SR_CONF_CONN | SR_CONF_GET,
149 SR_CONF_SAMPLERATE | SR_CONF_GET | SR_CONF_SET | SR_CONF_LIST,
150 SR_CONF_TRIGGER_MATCH | SR_CONF_LIST,
151 SR_CONF_CAPTURE_RATIO | SR_CONF_GET | SR_CONF_SET,
ea3a77c7 152 SR_CONF_EXTERNAL_CLOCK | SR_CONF_GET | SR_CONF_SET,
9803346f 153 SR_CONF_CLOCK_EDGE | SR_CONF_GET | SR_CONF_SET | SR_CONF_LIST,
3fc3fbe4
DA
154};
155
9615eeb5
BV
156static const int32_t soft_trigger_matches[] = {
157 SR_TRIGGER_ZERO,
158 SR_TRIGGER_ONE,
335122f0
BV
159 SR_TRIGGER_RISING,
160 SR_TRIGGER_FALLING,
161 SR_TRIGGER_EDGE,
9615eeb5
BV
162};
163
9803346f 164/* Names assigned to available edge slope choices. */
d9a58763
DA
165static const char *const signal_edge_names[] = {
166 [DS_EDGE_RISING] = "rising",
167 [DS_EDGE_FALLING] = "falling",
168};
169
3fc3fbe4
DA
170static const struct {
171 int range;
172 gdouble low;
173 gdouble high;
174} volt_thresholds[] = {
9803346f
UH
175 { DS_VOLTAGE_RANGE_18_33_V, 0.7, 1.4 },
176 { DS_VOLTAGE_RANGE_5_V, 1.4, 3.6 },
3fc3fbe4
DA
177};
178
d6836bf1 179static const uint64_t samplerates[] = {
79dc6498
JH
180 SR_KHZ(20),
181 SR_KHZ(25),
897c1a2e
JH
182 SR_KHZ(50),
183 SR_KHZ(100),
9304d576
JH
184 SR_KHZ(200),
185 SR_KHZ(250),
186 SR_KHZ(500),
8b35f474
JH
187 SR_MHZ(1),
188 SR_MHZ(2),
189 SR_MHZ(3),
190 SR_MHZ(4),
191 SR_MHZ(6),
192 SR_MHZ(8),
193 SR_MHZ(12),
194 SR_MHZ(16),
772a0e61 195 SR_MHZ(24),
8b35f474
JH
196};
197
a7d7f93c
BV
198static const uint64_t dslogic_samplerates[] = {
199 SR_KHZ(10),
200 SR_KHZ(20),
201 SR_KHZ(50),
202 SR_KHZ(100),
203 SR_KHZ(200),
204 SR_KHZ(500),
205 SR_MHZ(1),
206 SR_MHZ(2),
207 SR_MHZ(5),
208 SR_MHZ(10),
209 SR_MHZ(20),
210 SR_MHZ(25),
211 SR_MHZ(50),
212 SR_MHZ(100),
213 SR_MHZ(200),
214 SR_MHZ(400),
215};
216
7087a8b0
KP
217static gboolean is_plausible(const struct libusb_device_descriptor *des)
218{
219 int i;
220
221 for (i = 0; supported_fx2[i].vid; i++) {
222 if (des->idVendor != supported_fx2[i].vid)
223 continue;
224 if (des->idProduct == supported_fx2[i].pid)
225 return TRUE;
226 }
227
228 return FALSE;
229}
230
4f840ce9 231static GSList *scan(struct sr_dev_driver *di, GSList *options)
f302a082 232{
dc9dbe94
BV
233 struct drv_context *drvc;
234 struct dev_context *devc;
754b5ff2
BV
235 struct sr_dev_inst *sdi;
236 struct sr_usb_dev_inst *usb;
7fb90f94
BL
237 struct sr_channel *ch;
238 struct sr_channel_group *cg;
754b5ff2
BV
239 struct sr_config *src;
240 const struct fx2lafw_profile *prof;
241 GSList *l, *devices, *conn_devices;
a7d7f93c 242 gboolean has_firmware;
754b5ff2 243 struct libusb_device_descriptor des;
187b3582 244 libusb_device **devlist;
e826239c 245 struct libusb_device_handle *hdl;
232a975f
JH
246 int ret, i, j;
247 int num_logic_channels = 0, num_analog_channels = 0;
754b5ff2 248 const char *conn;
5e2c86eb 249 char manufacturer[64], product[64], serial_num[64], connection_id[64];
7fb90f94 250 char channel_name[16];
3a7a22cb 251
41812aca 252 drvc = di->context;
187b3582 253
754b5ff2
BV
254 conn = NULL;
255 for (l = options; l; l = l->next) {
256 src = l->data;
257 switch (src->key) {
258 case SR_CONF_CONN:
259 conn = g_variant_get_string(src->data, NULL);
260 break;
261 }
262 }
263 if (conn)
264 conn_devices = sr_usb_find(drvc->sr_ctx->libusb_ctx, conn);
265 else
266 conn_devices = NULL;
267
921634ec 268 /* Find all fx2lafw compatible devices and upload firmware to them. */
3a7a22cb 269 devices = NULL;
d4abb463 270 libusb_get_device_list(drvc->sr_ctx->libusb_ctx, &devlist);
187b3582 271 for (i = 0; devlist[i]; i++) {
754b5ff2
BV
272 if (conn) {
273 usb = NULL;
274 for (l = conn_devices; l; l = l->next) {
275 usb = l->data;
276 if (usb->bus == libusb_get_bus_number(devlist[i])
277 && usb->address == libusb_get_device_address(devlist[i]))
278 break;
279 }
280 if (!l)
281 /* This device matched none of the ones that
282 * matched the conn specification. */
283 continue;
284 }
187b3582 285
2a8f2d41 286 libusb_get_device_descriptor( devlist[i], &des);
187b3582 287
7087a8b0
KP
288 if (!is_plausible(&des))
289 continue;
290
291 if ((ret = libusb_open(devlist[i], &hdl)) < 0) {
292 sr_warn("Failed to open potential device with "
293 "VID:PID %04x:%04x: %s.", des.idVendor,
294 des.idProduct, libusb_error_name(ret));
e826239c 295 continue;
7087a8b0 296 }
e826239c
ML
297
298 if (des.iManufacturer == 0) {
299 manufacturer[0] = '\0';
300 } else if ((ret = libusb_get_string_descriptor_ascii(hdl,
301 des.iManufacturer, (unsigned char *) manufacturer,
302 sizeof(manufacturer))) < 0) {
303 sr_warn("Failed to get manufacturer string descriptor: %s.",
304 libusb_error_name(ret));
305 continue;
306 }
307
308 if (des.iProduct == 0) {
309 product[0] = '\0';
310 } else if ((ret = libusb_get_string_descriptor_ascii(hdl,
311 des.iProduct, (unsigned char *) product,
312 sizeof(product))) < 0) {
313 sr_warn("Failed to get product string descriptor: %s.",
314 libusb_error_name(ret));
315 continue;
316 }
317
5e2c86eb
SA
318 if (des.iSerialNumber == 0) {
319 serial_num[0] = '\0';
320 } else if ((ret = libusb_get_string_descriptor_ascii(hdl,
321 des.iSerialNumber, (unsigned char *) serial_num,
322 sizeof(serial_num))) < 0) {
323 sr_warn("Failed to get serial number string descriptor: %s.",
324 libusb_error_name(ret));
325 continue;
326 }
327
328 usb_get_port_path(devlist[i], connection_id, sizeof(connection_id));
329
e826239c
ML
330 libusb_close(hdl);
331
da686568 332 prof = NULL;
187b3582
JH
333 for (j = 0; supported_fx2[j].vid; j++) {
334 if (des.idVendor == supported_fx2[j].vid &&
e826239c
ML
335 des.idProduct == supported_fx2[j].pid &&
336 (!supported_fx2[j].usb_manufacturer ||
337 !strcmp(manufacturer, supported_fx2[j].usb_manufacturer)) &&
fef90b41 338 (!supported_fx2[j].usb_product ||
e826239c 339 !strcmp(product, supported_fx2[j].usb_product))) {
da686568 340 prof = &supported_fx2[j];
e826239c 341 break;
187b3582
JH
342 }
343 }
344
b99457f0 345 /* Skip if the device was not found. */
da686568 346 if (!prof)
187b3582
JH
347 continue;
348
aac29cc1 349 sdi = g_malloc0(sizeof(struct sr_dev_inst));
0af636be
UH
350 sdi->status = SR_ST_INITIALIZING;
351 sdi->vendor = g_strdup(prof->vendor);
352 sdi->model = g_strdup(prof->model);
353 sdi->version = g_strdup(prof->model_version);
5e2c86eb
SA
354 sdi->serial_num = g_strdup(serial_num);
355 sdi->connection_id = g_strdup(connection_id);
187b3582 356
ba7dd8bb
UH
357 /* Fill in channellist according to this device's profile. */
358 num_logic_channels = prof->dev_caps & DEV_CAPS_16BIT ? 16 : 8;
232a975f
JH
359 num_analog_channels = prof->dev_caps & DEV_CAPS_AX_ANALOG ? 1 : 0;
360
7fb90f94
BL
361 /* Logic channels, all in one channel group. */
362 cg = g_malloc0(sizeof(struct sr_channel_group));
363 cg->name = g_strdup("Logic");
364 for (j = 0; j < num_logic_channels; j++) {
365 sprintf(channel_name, "D%d", j);
366 ch = sr_channel_new(sdi, j, SR_CHANNEL_LOGIC,
367 TRUE, channel_name);
368 cg->channels = g_slist_append(cg->channels, ch);
369 }
370 sdi->channel_groups = g_slist_append(NULL, cg);
371
372 for (j = 0; j < num_analog_channels; j++) {
373 snprintf(channel_name, 16, "A%d", j);
374 ch = sr_channel_new(sdi, j + num_logic_channels,
375 SR_CHANNEL_ANALOG, TRUE, channel_name);
376
377 /* Every analog channel gets its own channel group. */
378 cg = g_malloc0(sizeof(struct sr_channel_group));
379 cg->name = g_strdup(channel_name);
380 cg->channels = g_slist_append(NULL, ch);
381 sdi->channel_groups = g_slist_append(sdi->channel_groups, cg);
382 }
232a975f 383
dc9dbe94
BV
384 devc = fx2lafw_dev_new();
385 devc->profile = prof;
386 sdi->priv = devc;
a8cc8e44 387 devices = g_slist_append(devices, sdi);
187b3582 388
b7c53d48
DG
389 if (!strcmp(prof->model, "DSLogic")
390 || !strcmp(prof->model, "DSLogic Pro")
391 || !strcmp(prof->model, "DSCope")) {
392 devc->dslogic = TRUE;
393 devc->samplerates = dslogic_samplerates;
394 devc->num_samplerates = ARRAY_SIZE(dslogic_samplerates);
395 has_firmware = match_manuf_prod(devlist[i], "DreamSourceLab", "DSLogic")
396 || match_manuf_prod(devlist[i], "DreamSourceLab", "DSCope");
397 } else {
a7d7f93c
BV
398 devc->dslogic = FALSE;
399 devc->samplerates = samplerates;
400 devc->num_samplerates = ARRAY_SIZE(samplerates);
401 has_firmware = match_manuf_prod(devlist[i],
402 "sigrok", "fx2lafw");
a7d7f93c
BV
403 }
404
405 if (has_firmware) {
b1eeb67e 406 /* Already has the firmware, so fix the new address. */
f427daef 407 sr_dbg("Found an fx2lafw device.");
b1eeb67e 408 sdi->status = SR_ST_INACTIVE;
250a78c7
BV
409 sdi->inst_type = SR_INST_USB;
410 sdi->conn = sr_usb_dev_inst_new(libusb_get_bus_number(devlist[i]),
411 libusb_get_device_address(devlist[i]), NULL);
b1eeb67e 412 } else {
8e2d6c9d
DE
413 if (ezusb_upload_firmware(drvc->sr_ctx, devlist[i],
414 USB_CONFIGURATION, prof->firmware) == SR_OK)
b99457f0 415 /* Store when this device's FW was updated. */
dc9dbe94 416 devc->fw_updated = g_get_monotonic_time();
b1eeb67e 417 else
f427daef 418 sr_err("Firmware upload failed for "
5e2c86eb
SA
419 "device %d.%d (logical).",
420 libusb_get_bus_number(devlist[i]),
421 libusb_get_device_address(devlist[i]));
250a78c7 422 sdi->inst_type = SR_INST_USB;
87b545fb 423 sdi->conn = sr_usb_dev_inst_new(libusb_get_bus_number(devlist[i]),
250a78c7 424 0xff, NULL);
b1eeb67e 425 }
187b3582
JH
426 }
427 libusb_free_device_list(devlist, 1);
9dc7a75e 428 g_slist_free_full(conn_devices, (GDestroyNotify)sr_usb_dev_inst_free);
187b3582 429
15a5bfe4 430 return std_scan_complete(di, devices);
f302a082
JH
431}
432
7fb90f94
BL
433static void clear_dev_context(void *priv)
434{
435 struct dev_context *devc;
436
437 devc = priv;
438 g_slist_free(devc->enabled_analog_channels);
439 g_free(devc);
440}
441
442static int dev_clear(const struct sr_dev_driver *di)
443{
444 return std_dev_clear(di, clear_dev_context);
445}
446
6078d2c9 447static int dev_open(struct sr_dev_inst *sdi)
f302a082 448{
4f840ce9 449 struct sr_dev_driver *di = sdi->driver;
250a78c7 450 struct sr_usb_dev_inst *usb;
dc9dbe94 451 struct dev_context *devc;
2c240774 452 const char *fpga_firmware = NULL;
e8bd58ff
UH
453 int ret;
454 int64_t timediff_us, timediff_ms;
43125c69 455
dc9dbe94 456 devc = sdi->priv;
250a78c7 457 usb = sdi->conn;
43125c69
JH
458
459 /*
f60fdf6e
UH
460 * If the firmware was recently uploaded, wait up to MAX_RENUM_DELAY_MS
461 * milliseconds for the FX2 to renumerate.
43125c69 462 */
3b6c1930 463 ret = SR_ERR;
dc9dbe94 464 if (devc->fw_updated > 0) {
f427daef 465 sr_info("Waiting for device to reset.");
b99457f0 466 /* Takes >= 300ms for the FX2 to be gone from the USB bus. */
43125c69 467 g_usleep(300 * 1000);
e8bd58ff 468 timediff_ms = 0;
f60fdf6e 469 while (timediff_ms < MAX_RENUM_DELAY_MS) {
2f937611 470 if ((ret = fx2lafw_dev_open(sdi, di)) == SR_OK)
43125c69
JH
471 break;
472 g_usleep(100 * 1000);
e8bd58ff 473
dc9dbe94 474 timediff_us = g_get_monotonic_time() - devc->fw_updated;
3b6c1930 475 timediff_ms = timediff_us / 1000;
b99457f0 476 sr_spew("Waited %" PRIi64 "ms.", timediff_ms);
43125c69 477 }
443a14d8
AG
478 if (ret != SR_OK) {
479 sr_err("Device failed to renumerate.");
480 return SR_ERR;
481 }
c0bf69c2 482 sr_info("Device came back after %" PRIi64 "ms.", timediff_ms);
43125c69 483 } else {
443a14d8 484 sr_info("Firmware upload was not needed.");
2f937611 485 ret = fx2lafw_dev_open(sdi, di);
43125c69
JH
486 }
487
ebc34738 488 if (ret != SR_OK) {
f427daef 489 sr_err("Unable to open device.");
43125c69
JH
490 return SR_ERR;
491 }
378abfea 492
250a78c7 493 ret = libusb_claim_interface(usb->devhdl, USB_INTERFACE);
ebc34738 494 if (ret != 0) {
87b545fb 495 switch (ret) {
0c156e06 496 case LIBUSB_ERROR_BUSY:
f427daef 497 sr_err("Unable to claim USB interface. Another "
b99457f0 498 "program or driver has already claimed it.");
0c156e06 499 break;
0c156e06 500 case LIBUSB_ERROR_NO_DEVICE:
f427daef 501 sr_err("Device has been disconnected.");
0c156e06 502 break;
0c156e06 503 default:
f427daef 504 sr_err("Unable to claim interface: %s.",
d4928d71 505 libusb_error_name(ret));
0c156e06
JH
506 break;
507 }
508
43125c69
JH
509 return SR_ERR;
510 }
511
a7d7f93c 512 if (devc->dslogic) {
b7c53d48 513 if (!strcmp(devc->profile->model, "DSLogic")) {
3fc3fbe4
DA
514 if (devc->dslogic_voltage_threshold == DS_VOLTAGE_RANGE_18_33_V)
515 fpga_firmware = DSLOGIC_FPGA_FIRMWARE_3V3;
516 else
517 fpga_firmware = DSLOGIC_FPGA_FIRMWARE_5V;
518 } else if (!strcmp(devc->profile->model, "DSLogic Pro")){
b7c53d48
DG
519 fpga_firmware = DSLOGIC_PRO_FPGA_FIRMWARE;
520 } else if (!strcmp(devc->profile->model, "DSCope")) {
521 fpga_firmware = DSCOPE_FPGA_FIRMWARE;
522 }
523
3fc3fbe4 524 if ((ret = dslogic_fpga_firmware_upload(sdi, fpga_firmware)) != SR_OK)
a7d7f93c
BV
525 return ret;
526 }
dc9dbe94 527 if (devc->cur_samplerate == 0) {
f92994fd 528 /* Samplerate hasn't been set; default to the slowest one. */
a7d7f93c 529 devc->cur_samplerate = devc->samplerates[0];
f92994fd
JH
530 }
531
f302a082
JH
532 return SR_OK;
533}
534
6078d2c9 535static int dev_close(struct sr_dev_inst *sdi)
f302a082 536{
250a78c7 537 struct sr_usb_dev_inst *usb;
961009b0 538
250a78c7 539 usb = sdi->conn;
9803346f 540
98fec29e 541 if (!usb->devhdl)
25a0f108 542 return SR_ERR;
f1898235 543
5e2c86eb
SA
544 sr_info("fx2lafw: Closing device on %d.%d (logical) / %s (physical) interface %d.",
545 usb->bus, usb->address, sdi->connection_id, USB_INTERFACE);
250a78c7
BV
546 libusb_release_interface(usb->devhdl, USB_INTERFACE);
547 libusb_close(usb->devhdl);
548 usb->devhdl = NULL;
25a0f108 549 sdi->status = SR_ST_INACTIVE;
f1898235 550
f302a082
JH
551 return SR_OK;
552}
553
9803346f
UH
554static int config_get(uint32_t key, GVariant **data,
555 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
f302a082 556{
dc9dbe94 557 struct dev_context *devc;
89befd46 558 struct sr_usb_dev_inst *usb;
3fc3fbe4
DA
559 GVariant *range[2];
560 unsigned int i;
89befd46 561 char str[128];
8b35f474 562
53b4680f 563 (void)cg;
8f996b89 564
a920a7d8
ML
565 if (!sdi)
566 return SR_ERR_ARG;
567
568 devc = sdi->priv;
569
584560f1 570 switch (key) {
89befd46 571 case SR_CONF_CONN:
a920a7d8 572 if (!sdi->conn)
38ab8dbe 573 return SR_ERR_ARG;
89befd46
BV
574 usb = sdi->conn;
575 if (usb->address == 255)
576 /* Device still needs to re-enumerate after firmware
577 * upload, so we don't know its (future) address. */
578 return SR_ERR;
579 snprintf(str, 128, "%d.%d", usb->bus, usb->address);
580 *data = g_variant_new_string(str);
581 break;
3fc3fbe4
DA
582 case SR_CONF_VOLTAGE_THRESHOLD:
583 for (i = 0; i < ARRAY_SIZE(volt_thresholds); i++) {
584 if (volt_thresholds[i].range != devc->dslogic_voltage_threshold)
585 continue;
586 range[0] = g_variant_new_double(volt_thresholds[i].low);
587 range[1] = g_variant_new_double(volt_thresholds[i].high);
588 *data = g_variant_new_tuple(range, 2);
589 break;
590 }
591 break;
a920a7d8
ML
592 case SR_CONF_LIMIT_SAMPLES:
593 *data = g_variant_new_uint64(devc->limit_samples);
594 break;
123e1313 595 case SR_CONF_SAMPLERATE:
89befd46 596 *data = g_variant_new_uint64(devc->cur_samplerate);
6e9339aa 597 break;
7bfcb25c
AJ
598 case SR_CONF_CAPTURE_RATIO:
599 *data = g_variant_new_uint64(devc->capture_ratio);
600 break;
ea3a77c7
DA
601 case SR_CONF_EXTERNAL_CLOCK:
602 *data = g_variant_new_boolean(devc->dslogic_external_clock);
603 break;
41dc2547
DA
604 case SR_CONF_CONTINUOUS:
605 *data = g_variant_new_boolean(devc->dslogic_continuous_mode);
606 break;
d9a58763
DA
607 case SR_CONF_CLOCK_EDGE:
608 i = devc->dslogic_clock_edge;
609 if (i >= ARRAY_SIZE(signal_edge_names))
610 return SR_ERR_BUG;
9803346f 611 *data = g_variant_new_string(signal_edge_names[0]);
d9a58763 612 break;
6e9339aa 613 default:
bd6fbf62 614 return SR_ERR_NA;
8b35f474
JH
615 }
616
6e9339aa 617 return SR_OK;
f302a082
JH
618}
619
9803346f
UH
620/*
621 * Helper for mapping a string-typed configuration value to an index
d9a58763
DA
622 * within a table of possible values.
623 */
624static int lookup_index(GVariant *value, const char *const *table, int len)
625{
626 const char *entry;
627 int i;
628
629 entry = g_variant_get_string(value, NULL);
630 if (!entry)
631 return -1;
632
633 /* Linear search is fine for very small tables. */
634 for (i = 0; i < len; i++) {
635 if (strcmp(entry, table[i]) == 0)
636 return i;
637 }
638
639 return -1;
640}
641
9803346f
UH
642static int config_set(uint32_t key, GVariant *data,
643 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
f302a082 644{
dc9dbe94 645 struct dev_context *devc;
4d7b36a0 646 uint64_t arg;
65e00366 647 int i, ret;
3fc3fbe4 648 gdouble low, high;
7cb621d4 649
53b4680f 650 (void)cg;
8f996b89 651
1c48000d
ML
652 if (!sdi)
653 return SR_ERR_ARG;
654
e73ffd42
BV
655 if (sdi->status != SR_ST_ACTIVE)
656 return SR_ERR;
657
dc9dbe94 658 devc = sdi->priv;
7cb621d4 659
1c48000d
ML
660 ret = SR_OK;
661
584560f1 662 switch (key) {
93b118da
UH
663 case SR_CONF_SAMPLERATE:
664 arg = g_variant_get_uint64(data);
65e00366
CFS
665 for (i = 0; i < devc->num_samplerates; i++) {
666 if (devc->samplerates[i] == arg) {
93b118da
UH
667 devc->cur_samplerate = arg;
668 break;
4d7b36a0 669 }
93b118da 670 }
65e00366 671 if (i == devc->num_samplerates)
93b118da
UH
672 ret = SR_ERR_ARG;
673 break;
674 case SR_CONF_LIMIT_SAMPLES:
675 devc->limit_samples = g_variant_get_uint64(data);
676 break;
677 case SR_CONF_CAPTURE_RATIO:
678 devc->capture_ratio = g_variant_get_uint64(data);
a5c38703 679 ret = (devc->capture_ratio > 100) ? SR_ERR : SR_OK;
93b118da 680 break;
3fc3fbe4
DA
681 case SR_CONF_VOLTAGE_THRESHOLD:
682 g_variant_get(data, "(dd)", &low, &high);
683 ret = SR_ERR_ARG;
684 for (i = 0; (unsigned int)i < ARRAY_SIZE(volt_thresholds); i++) {
685 if (fabs(volt_thresholds[i].low - low) < 0.1 &&
686 fabs(volt_thresholds[i].high - high) < 0.1) {
687 devc->dslogic_voltage_threshold = volt_thresholds[i].range;
688 break;
689 }
690 }
691 if (!strcmp(devc->profile->model, "DSLogic")) {
692 if (devc->dslogic_voltage_threshold == DS_VOLTAGE_RANGE_5_V)
693 ret = dslogic_fpga_firmware_upload(sdi, DSLOGIC_FPGA_FIRMWARE_5V);
694 else
695 ret = dslogic_fpga_firmware_upload(sdi, DSLOGIC_FPGA_FIRMWARE_3V3);
9803346f 696 } else if (!strcmp(devc->profile->model, "DSLogic Pro")) {
3fc3fbe4
DA
697 ret = dslogic_fpga_firmware_upload(sdi, DSLOGIC_PRO_FPGA_FIRMWARE);
698 }
699 break;
ea3a77c7
DA
700 case SR_CONF_EXTERNAL_CLOCK:
701 devc->dslogic_external_clock = g_variant_get_boolean(data);
702 break;
41dc2547
DA
703 case SR_CONF_CONTINUOUS:
704 devc->dslogic_continuous_mode = g_variant_get_boolean(data);
705 break;
d9a58763
DA
706 case SR_CONF_CLOCK_EDGE:
707 i = lookup_index(data, signal_edge_names,
708 ARRAY_SIZE(signal_edge_names));
709 if (i < 0)
710 return SR_ERR_ARG;
711 devc->dslogic_clock_edge = i;
712 break;
93b118da
UH
713 default:
714 ret = SR_ERR_NA;
7cb621d4
JH
715 }
716
717 return ret;
f302a082
JH
718}
719
9803346f
UH
720static int config_list(uint32_t key, GVariant **data,
721 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
a1c743fc 722{
a7d7f93c 723 struct dev_context *devc;
3fc3fbe4 724 GVariant *gvar, *range[2];
d6836bf1 725 GVariantBuilder gvb;
3fc3fbe4 726 unsigned int i;
a1c743fc 727
53b4680f 728 (void)cg;
a1c743fc
BV
729
730 switch (key) {
89befd46 731 case SR_CONF_SCAN_OPTIONS:
584560f1 732 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_UINT32,
a0e0bb41 733 scanopts, ARRAY_SIZE(scanopts), sizeof(uint32_t));
89befd46 734 break;
9a6517d1 735 case SR_CONF_DEVICE_OPTIONS:
9803346f 736 if (!sdi) {
ff6b76a1 737 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_UINT32,
9803346f
UH
738 drvopts, ARRAY_SIZE(drvopts), sizeof(uint32_t));
739 } else {
3fc3fbe4
DA
740 devc = sdi->priv;
741 if (!devc->dslogic)
742 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_UINT32,
743 devopts, ARRAY_SIZE(devopts), sizeof(uint32_t));
744 else
745 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_UINT32,
746 dslogic_devopts, ARRAY_SIZE(dslogic_devopts), sizeof(uint32_t));
747 }
748 break;
749 case SR_CONF_VOLTAGE_THRESHOLD:
9803346f
UH
750 if (!sdi->priv)
751 return SR_ERR_ARG;
3fc3fbe4 752 devc = sdi->priv;
9803346f
UH
753 if (!devc->dslogic)
754 return SR_ERR_NA;
3fc3fbe4
DA
755 g_variant_builder_init(&gvb, G_VARIANT_TYPE_ARRAY);
756 for (i = 0; i < ARRAY_SIZE(volt_thresholds); i++) {
757 range[0] = g_variant_new_double(volt_thresholds[i].low);
758 range[1] = g_variant_new_double(volt_thresholds[i].high);
759 gvar = g_variant_new_tuple(range, 2);
760 g_variant_builder_add_value(&gvb, gvar);
761 }
762 *data = g_variant_builder_end(&gvb);
9a6517d1 763 break;
a1c743fc 764 case SR_CONF_SAMPLERATE:
a7d7f93c 765 devc = sdi->priv;
d6836bf1 766 g_variant_builder_init(&gvb, G_VARIANT_TYPE("a{sv}"));
a7d7f93c
BV
767 gvar = g_variant_new_fixed_array(G_VARIANT_TYPE("t"), devc->samplerates,
768 devc->num_samplerates, sizeof(uint64_t));
d6836bf1
BV
769 g_variant_builder_add(&gvb, "{sv}", "samplerates", gvar);
770 *data = g_variant_builder_end(&gvb);
a1c743fc 771 break;
9615eeb5
BV
772 case SR_CONF_TRIGGER_MATCH:
773 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_INT32,
774 soft_trigger_matches, ARRAY_SIZE(soft_trigger_matches),
775 sizeof(int32_t));
c50277a6 776 break;
d9a58763
DA
777 case SR_CONF_CLOCK_EDGE:
778 *data = g_variant_new_strv(signal_edge_names,
779 ARRAY_SIZE(signal_edge_names));
780 break;
a1c743fc 781 default:
bd6fbf62 782 return SR_ERR_NA;
a1c743fc
BV
783 }
784
785 return SR_OK;
786}
787
1f9813eb 788static int receive_data(int fd, int revents, void *cb_data)
610dbb70
JH
789{
790 struct timeval tv;
b99457f0 791 struct drv_context *drvc;
610dbb70 792
610dbb70
JH
793 (void)fd;
794 (void)revents;
610dbb70 795
1a863916 796 drvc = (struct drv_context *)cb_data;
b99457f0 797
610dbb70 798 tv.tv_sec = tv.tv_usec = 0;
d4abb463 799 libusb_handle_events_timeout(drvc->sr_ctx->libusb_ctx, &tv);
610dbb70
JH
800
801 return TRUE;
802}
803
b9d53092 804static int start_transfers(const struct sr_dev_inst *sdi)
f302a082 805{
dc9dbe94 806 struct dev_context *devc;
250a78c7 807 struct sr_usb_dev_inst *usb;
335122f0 808 struct sr_trigger *trigger;
610dbb70 809 struct libusb_transfer *transfer;
b9d53092
BV
810 unsigned int i, num_transfers;
811 int endpoint, timeout, ret;
610dbb70 812 unsigned char *buf;
b99457f0 813 size_t size;
610dbb70 814
dc9dbe94 815 devc = sdi->priv;
250a78c7 816 usb = sdi->conn;
b99457f0 817
b0ccd64d
BV
818 devc->sent_samples = 0;
819 devc->acq_aborted = FALSE;
dc9dbe94 820 devc->empty_transfer_count = 0;
610dbb70 821
4237fbca 822 if ((trigger = sr_session_trigger_get(sdi->session)) && !devc->dslogic) {
7bfcb25c
AJ
823 int pre_trigger_samples = 0;
824 if (devc->limit_samples > 0)
825 pre_trigger_samples = devc->capture_ratio * devc->limit_samples/100;
826 devc->stl = soft_trigger_logic_new(sdi, trigger, pre_trigger_samples);
98fec29e 827 if (!devc->stl)
7bfcb25c 828 return SR_ERR_MALLOC;
9615eeb5 829 devc->trigger_fired = FALSE;
9615eeb5
BV
830 } else
831 devc->trigger_fired = TRUE;
832
2f937611 833 num_transfers = fx2lafw_get_number_of_transfers(devc);
a04b28ce
DA
834
835 //if (devc->dslogic)
836 // num_transfers = dslogic_get_number_of_transfers(devc);
837
9803346f
UH
838 if (devc->dslogic) {
839 if (devc->cur_samplerate == SR_MHZ(100))
a04b28ce
DA
840 num_transfers = 16;
841 else if (devc->cur_samplerate == SR_MHZ(200))
842 num_transfers = 8;
843 else if (devc->cur_samplerate == SR_MHZ(400))
844 num_transfers = 4;
845 }
846
2f937611 847 size = fx2lafw_get_buffer_size(devc);
2a67abfe 848 devc->submitted_transfers = 0;
5af666a9 849
dc9dbe94 850 devc->transfers = g_try_malloc0(sizeof(*devc->transfers) * num_transfers);
886a52b6 851 if (!devc->transfers) {
f427daef 852 sr_err("USB transfers malloc failed.");
886a52b6
UH
853 return SR_ERR_MALLOC;
854 }
0caa1ef0 855
b9d53092
BV
856 timeout = fx2lafw_get_timeout(devc);
857 endpoint = devc->dslogic ? 6 : 2;
dc9dbe94 858 devc->num_transfers = num_transfers;
5af666a9 859 for (i = 0; i < num_transfers; i++) {
610dbb70 860 if (!(buf = g_try_malloc(size))) {
b99457f0 861 sr_err("USB transfer buffer malloc failed.");
610dbb70
JH
862 return SR_ERR_MALLOC;
863 }
864 transfer = libusb_alloc_transfer(0);
250a78c7 865 libusb_fill_bulk_transfer(transfer, usb->devhdl,
b9d53092 866 endpoint | LIBUSB_ENDPOINT_IN, buf, size,
9615eeb5 867 fx2lafw_receive_transfer, (void *)sdi, timeout);
a04b28ce 868 sr_info("submitting transfer: %d", i);
d4928d71 869 if ((ret = libusb_submit_transfer(transfer)) != 0) {
b99457f0
UH
870 sr_err("Failed to submit transfer: %s.",
871 libusb_error_name(ret));
610dbb70
JH
872 libusb_free_transfer(transfer);
873 g_free(buf);
2f937611 874 fx2lafw_abort_acquisition(devc);
610dbb70
JH
875 return SR_ERR;
876 }
dc9dbe94
BV
877 devc->transfers[i] = transfer;
878 devc->submitted_transfers++;
610dbb70
JH
879 }
880
7e5ccff2
JH
881 if (devc->profile->dev_caps & DEV_CAPS_AX_ANALOG)
882 devc->send_data_proc = mso_send_data_proc;
883 else
884 devc->send_data_proc = la_send_data_proc;
7b5d1c64 885
bee2b016 886 std_session_send_df_header(sdi);
610dbb70 887
b9d53092
BV
888 return SR_OK;
889}
890
55462b8b 891static void LIBUSB_CALL dslogic_trigger_receive(struct libusb_transfer *transfer)
b9d53092
BV
892{
893 const struct sr_dev_inst *sdi;
894 struct dslogic_trigger_pos *tpos;
40ebad35 895 struct dev_context *devc;
b9d53092
BV
896
897 sdi = transfer->user_data;
40ebad35
DA
898 devc = sdi->priv;
899 if (transfer->status == LIBUSB_TRANSFER_CANCELLED) {
900 sr_dbg("Trigger transfer canceled.");
901 /* Terminate session. */
bee2b016 902 std_session_send_df_end(sdi);
40ebad35
DA
903 usb_source_remove(sdi->session, devc->ctx);
904 devc->num_transfers = 0;
905 g_free(devc->transfers);
906 if (devc->stl) {
907 soft_trigger_logic_free(devc->stl);
908 devc->stl = NULL;
909 }
910 } else if (transfer->status == LIBUSB_TRANSFER_COMPLETED
b9d53092
BV
911 && transfer->actual_length == sizeof(struct dslogic_trigger_pos)) {
912 tpos = (struct dslogic_trigger_pos *)transfer->buffer;
9803346f
UH
913 sr_info("tpos real_pos %d ram_saddr %d cnt %d", tpos->real_pos,
914 tpos->ram_saddr, tpos->remain_cnt);
915 devc->trigger_pos = tpos->real_pos;
b9d53092
BV
916 g_free(tpos);
917 start_transfers(sdi);
918 }
b9d53092 919 libusb_free_transfer(transfer);
b9d53092
BV
920}
921
922static int dslogic_trigger_request(const struct sr_dev_inst *sdi)
923{
924 struct sr_usb_dev_inst *usb;
925 struct libusb_transfer *transfer;
926 struct dslogic_trigger_pos *tpos;
40ebad35 927 struct dev_context *devc;
b9d53092
BV
928 int ret;
929
930 usb = sdi->conn;
40ebad35 931 devc = sdi->priv;
b9d53092
BV
932
933 if ((ret = dslogic_stop_acquisition(sdi)) != SR_OK)
934 return ret;
935
936 if ((ret = dslogic_fpga_configure(sdi)) != SR_OK)
937 return ret;
f366e86c 938
9803346f 939 /* If this is a DSLogic Pro, set the voltage threshold. */
3fc3fbe4 940 if (!strcmp(devc->profile->model, "DSLogic Pro")){
9803346f 941 if (devc->dslogic_voltage_threshold == DS_VOLTAGE_RANGE_18_33_V) {
3fc3fbe4 942 dslogic_set_vth(sdi, 1.4);
9803346f 943 } else {
3fc3fbe4
DA
944 dslogic_set_vth(sdi, 3.3);
945 }
946 }
947
b9d53092 948 if ((ret = dslogic_start_acquisition(sdi)) != SR_OK)
ebc34738 949 return ret;
b9d53092
BV
950
951 sr_dbg("Getting trigger.");
952 tpos = g_malloc(sizeof(struct dslogic_trigger_pos));
953 transfer = libusb_alloc_transfer(0);
954 libusb_fill_bulk_transfer(transfer, usb->devhdl, 6 | LIBUSB_ENDPOINT_IN,
955 (unsigned char *)tpos, sizeof(struct dslogic_trigger_pos),
956 dslogic_trigger_receive, (void *)sdi, 0);
957 if ((ret = libusb_submit_transfer(transfer)) < 0) {
958 sr_err("Failed to request trigger: %s.", libusb_error_name(ret));
959 libusb_free_transfer(transfer);
960 g_free(tpos);
961 return SR_ERR;
962 }
963
40ebad35
DA
964 devc->transfers = g_try_malloc0(sizeof(*devc->transfers));
965 if (!devc->transfers) {
966 sr_err("USB trigger_pos transfer malloc failed.");
967 return SR_ERR_MALLOC;
968 }
969 devc->num_transfers = 1;
970 devc->submitted_transfers++;
971 devc->transfers[0] = transfer;
972
b9d53092
BV
973 return ret;
974}
975
7fb90f94
BL
976static int configure_channels(const struct sr_dev_inst *sdi)
977{
978 struct dev_context *devc;
979 const GSList *l;
980 int p;
981 struct sr_channel *ch;
8399f68a 982 uint32_t channel_mask = 0, num_analog = 0;
7fb90f94
BL
983
984 devc = sdi->priv;
985
986 g_slist_free(devc->enabled_analog_channels);
987 devc->enabled_analog_channels = NULL;
988 memset(devc->ch_enabled, 0, sizeof(devc->ch_enabled));
989
990 for (l = sdi->channels, p = 0; l; l = l->next, p++) {
991 ch = l->data;
992 if ((p <= NUM_CHANNELS) && (ch->type == SR_CHANNEL_ANALOG)) {
8399f68a 993 num_analog++;
7fb90f94
BL
994 devc->ch_enabled[p] = ch->enabled;
995 devc->enabled_analog_channels =
996 g_slist_append(devc->enabled_analog_channels, ch);
8399f68a
JL
997 } else {
998 channel_mask |= ch->enabled << p;
7fb90f94
BL
999 }
1000 }
1001
8399f68a
JL
1002 /* Use no wide sampling if we have only the first 8 channels set. */
1003 devc->sample_wide = (channel_mask > 0xff || num_analog > 0);
1004
7fb90f94
BL
1005 return SR_OK;
1006}
1007
695dc859 1008static int dev_acquisition_start(const struct sr_dev_inst *sdi)
b9d53092 1009{
4f840ce9 1010 struct sr_dev_driver *di;
b9d53092
BV
1011 struct drv_context *drvc;
1012 struct dev_context *devc;
1013 int timeout, ret;
7e5ccff2 1014 size_t size;
b9d53092
BV
1015
1016 if (sdi->status != SR_ST_ACTIVE)
1017 return SR_ERR_DEV_CLOSED;
1018
4f840ce9 1019 di = sdi->driver;
41812aca 1020 drvc = di->context;
b9d53092
BV
1021 devc = sdi->priv;
1022
b9d53092 1023 devc->ctx = drvc->sr_ctx;
b9d53092
BV
1024 devc->sent_samples = 0;
1025 devc->empty_transfer_count = 0;
1026 devc->acq_aborted = FALSE;
1027
7fb90f94
BL
1028 if (configure_channels(sdi) != SR_OK) {
1029 sr_err("Failed to configure channels.");
1030 return SR_ERR;
1031 }
1032
b9d53092 1033 timeout = fx2lafw_get_timeout(devc);
4f840ce9 1034 usb_source_add(sdi->session, devc->ctx, timeout, receive_data, drvc);
b9d53092
BV
1035
1036 if (devc->dslogic) {
1037 dslogic_trigger_request(sdi);
c442ffda 1038 } else {
7e5ccff2
JH
1039 size = fx2lafw_get_buffer_size(devc);
1040 /* Prepare for analog sampling. */
1041 if (devc->profile->dev_caps & DEV_CAPS_AX_ANALOG) {
1042 /* We need a buffer half the size of a transfer. */
1043 devc->logic_buffer = g_try_malloc(size / 2);
1044 devc->analog_buffer = g_try_malloc(
1045 sizeof(float) * size / 2);
1046 }
b9d53092 1047 start_transfers(sdi);
815e3cb8
SA
1048 if ((ret = fx2lafw_command_start_acquisition(sdi)) != SR_OK) {
1049 fx2lafw_abort_acquisition(devc);
1050 return ret;
1051 }
017375d1
JH
1052 }
1053
f302a082
JH
1054 return SR_OK;
1055}
1056
695dc859 1057static int dev_acquisition_stop(struct sr_dev_inst *sdi)
f302a082 1058{
1a7ff3d0
UH
1059 struct dev_context *devc;
1060
1a7ff3d0
UH
1061 devc = sdi->priv;
1062
1063 if (devc->dslogic)
1064 dslogic_stop_acquisition(sdi);
1065
2f937611 1066 fx2lafw_abort_acquisition(sdi->priv);
5da93902 1067
f302a082
JH
1068 return SR_OK;
1069}
1070
dd5c48a6 1071static struct sr_dev_driver fx2lafw_driver_info = {
f302a082 1072 .name = "fx2lafw",
2e7cb004 1073 .longname = "fx2lafw (generic driver for FX2 based LAs)",
f302a082 1074 .api_version = 1,
c2fdcc25 1075 .init = std_init,
700d6b64 1076 .cleanup = std_cleanup,
6078d2c9 1077 .scan = scan,
c01bf34c 1078 .dev_list = std_dev_list,
7fb90f94 1079 .dev_clear = dev_clear,
035a1078
BV
1080 .config_get = config_get,
1081 .config_set = config_set,
a1c743fc 1082 .config_list = config_list,
6078d2c9
UH
1083 .dev_open = dev_open,
1084 .dev_close = dev_close,
1085 .dev_acquisition_start = dev_acquisition_start,
1086 .dev_acquisition_stop = dev_acquisition_stop,
41812aca 1087 .context = NULL,
f302a082 1088};
dd5c48a6 1089SR_REGISTER_DEV_DRIVER(fx2lafw_driver_info);