]> sigrok.org Git - sigrok-dumps.git/commit
ac97: Add a dump from a Realtek ALC655.
authorUwe Hermann <redacted>
Sun, 3 Sep 2017 15:45:08 +0000 (17:45 +0200)
committerUwe Hermann <redacted>
Sun, 3 Sep 2017 15:52:09 +0000 (17:52 +0200)
commit49e176470d6c5ac940f5529af75fb2668a876e46
tree3292b47742024e673e6c7d58621bc5c25678f002
parentf711de81a725d61c027b45d2fd51efaecb17b4e4
ac97: Add a dump from a Realtek ALC655.
ac97/realtek_alc655/README [new file with mode: 0644]
ac97/realtek_alc655/asus_a8ne_fm_s_ac97_powerup.sr [new file with mode: 0644]
ac97/realtek_alc655/asus_a8ne_fm_s_ac97_powerup_snippet.sr [new file with mode: 0644]