]> sigrok.org Git - libsigrok.git/commit
asix-sigma: Disable support for triggers, they don't work right now
authorGerhard Sittig <redacted>
Thu, 25 May 2017 17:06:36 +0000 (19:06 +0200)
committerUwe Hermann <redacted>
Fri, 26 May 2017 20:48:02 +0000 (22:48 +0200)
commitde3f7acb4df5ff584b116b6c5f1a1eee0814ccf5
treef9562eb949dc5da8181d203616d18442b2d9d9bd
parente686119cc287164b0043c44857ab4cf2faedde53
asix-sigma: Disable support for triggers, they don't work right now

This works around bug #359. Triggers currently are not operational for
Asix Sigma. Don't claim support in the driver so that UIs won't use the
feature. Yet allow research in this issue, by concentrating the switch
for the feature's support in a central location.

Add/update a comment and unobfuscate an error code path while we are here.
src/hardware/asix-sigma/api.c
src/hardware/asix-sigma/protocol.c
src/hardware/asix-sigma/protocol.h