]> sigrok.org Git - libsigrok.git/commit - hardware/asix-sigma/asix-sigma.c
Sigma: Only send trigger packet if enabled.
authorHåvard Espeland <redacted>
Tue, 18 Jan 2011 21:24:23 +0000 (22:24 +0100)
committerHåvard Espeland <redacted>
Tue, 18 Jan 2011 21:24:23 +0000 (22:24 +0100)
commit5b5ea7c6d25bb42de09b61d0a070d78252f2367b
tree675028d33bc7ca91ab8755f5663a674e80537a9e
parentabda62ced8a9cdbb13be49a503d6bc6a8a8f8f2e
Sigma: Only send trigger packet if enabled.

The Sigma hardware emits a default trigger event.
hardware/asix-sigma/asix-sigma.c
hardware/asix-sigma/asix-sigma.h