]> sigrok.org Git - libsigrok.git/blame_incremental - src/hardware/fx2lafw/api.c
Put driver pointers into special section
[libsigrok.git] / src / hardware / fx2lafw / api.c
... / ...
CommitLineData
1/*
2 * This file is part of the libsigrok project.
3 *
4 * Copyright (C) 2013 Bert Vermeulen <bert@biot.com>
5 * Copyright (C) 2012 Joel Holdsworth <joel@airwebreathe.org.uk>
6 *
7 * This program is free software: you can redistribute it and/or modify
8 * it under the terms of the GNU General Public License as published by
9 * the Free Software Foundation, either version 3 of the License, or
10 * (at your option) any later version.
11 *
12 * This program is distributed in the hope that it will be useful,
13 * but WITHOUT ANY WARRANTY; without even the implied warranty of
14 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
15 * GNU General Public License for more details.
16 *
17 * You should have received a copy of the GNU General Public License
18 * along with this program. If not, see <http://www.gnu.org/licenses/>.
19 */
20
21#include <config.h>
22#include "protocol.h"
23#include "dslogic.h"
24#include <math.h>
25
26static const struct fx2lafw_profile supported_fx2[] = {
27 /*
28 * CWAV USBee AX
29 * EE Electronics ESLA201A
30 * ARMFLY AX-Pro
31 */
32 { 0x08a9, 0x0014, "CWAV", "USBee AX", NULL,
33 "fx2lafw-cwav-usbeeax.fw",
34 DEV_CAPS_AX_ANALOG, NULL, NULL},
35 /*
36 * CWAV USBee DX
37 * XZL-Studio DX
38 */
39 { 0x08a9, 0x0015, "CWAV", "USBee DX", NULL,
40 "fx2lafw-cwav-usbeedx.fw",
41 DEV_CAPS_16BIT, NULL, NULL },
42
43 /*
44 * CWAV USBee SX
45 */
46 { 0x08a9, 0x0009, "CWAV", "USBee SX", NULL,
47 "fx2lafw-cwav-usbeesx.fw",
48 0, NULL, NULL},
49
50 /* DreamSourceLab DSLogic (before FW upload) */
51 { 0x2a0e, 0x0001, "DreamSourceLab", "DSLogic", NULL,
52 "dreamsourcelab-dslogic-fx2.fw",
53 DEV_CAPS_16BIT, NULL, NULL},
54 /* DreamSourceLab DSLogic (after FW upload) */
55 { 0x2a0e, 0x0001, "DreamSourceLab", "DSLogic", NULL,
56 "dreamsourcelab-dslogic-fx2.fw",
57 DEV_CAPS_16BIT, "DreamSourceLab", "DSLogic"},
58
59 /* DreamSourceLab DSCope (before FW upload) */
60 { 0x2a0e, 0x0002, "DreamSourceLab", "DSCope", NULL,
61 "dreamsourcelab-dscope-fx2.fw",
62 DEV_CAPS_16BIT, NULL, NULL},
63 /* DreamSourceLab DSCope (after FW upload) */
64 { 0x2a0e, 0x0002, "DreamSourceLab", "DSCope", NULL,
65 "dreamsourcelab-dscope-fx2.fw",
66 DEV_CAPS_16BIT, "DreamSourceLab", "DSCope"},
67
68 /* DreamSourceLab DSLogic Pro (before FW upload) */
69 { 0x2a0e, 0x0003, "DreamSourceLab", "DSLogic Pro", NULL,
70 "dreamsourcelab-dslogic-pro-fx2.fw",
71 DEV_CAPS_16BIT, NULL, NULL},
72 /* DreamSourceLab DSLogic Pro (after FW upload) */
73 { 0x2a0e, 0x0003, "DreamSourceLab", "DSLogic Pro", NULL,
74 "dreamsourcelab-dslogic-pro-fx2.fw",
75 DEV_CAPS_16BIT, "DreamSourceLab", "DSLogic"},
76
77 /*
78 * Saleae Logic
79 * EE Electronics ESLA100
80 * Robomotic MiniLogic
81 * Robomotic BugLogic 3
82 */
83 { 0x0925, 0x3881, "Saleae", "Logic", NULL,
84 "fx2lafw-saleae-logic.fw",
85 0, NULL, NULL},
86
87 /*
88 * Default Cypress FX2 without EEPROM, e.g.:
89 * Lcsoft Mini Board
90 * Braintechnology USB Interface V2.x
91 */
92 { 0x04B4, 0x8613, "Cypress", "FX2", NULL,
93 "fx2lafw-cypress-fx2.fw",
94 DEV_CAPS_16BIT, NULL, NULL },
95
96 /*
97 * Braintechnology USB-LPS
98 */
99 { 0x16d0, 0x0498, "Braintechnology", "USB-LPS", NULL,
100 "fx2lafw-braintechnology-usb-lps.fw",
101 DEV_CAPS_16BIT, NULL, NULL },
102
103 /*
104 * sigrok FX2 based 8-channel logic analyzer
105 */
106 { 0x1d50, 0x608c, "sigrok", "FX2 LA (8ch)", NULL,
107 "fx2lafw-sigrok-fx2-8ch.fw",
108 0, NULL, NULL},
109
110 /*
111 * sigrok FX2 based 16-channel logic analyzer
112 */
113 { 0x1d50, 0x608d, "sigrok", "FX2 LA (16ch)", NULL,
114 "fx2lafw-sigrok-fx2-16ch.fw",
115 DEV_CAPS_16BIT, NULL, NULL },
116
117 ALL_ZERO
118};
119
120static const uint32_t drvopts[] = {
121 SR_CONF_LOGIC_ANALYZER,
122};
123
124static const uint32_t scanopts[] = {
125 SR_CONF_CONN,
126};
127
128static const uint32_t devopts[] = {
129 SR_CONF_CONTINUOUS,
130 SR_CONF_LIMIT_SAMPLES | SR_CONF_GET | SR_CONF_SET,
131 SR_CONF_CONN | SR_CONF_GET,
132 SR_CONF_SAMPLERATE | SR_CONF_GET | SR_CONF_SET | SR_CONF_LIST,
133 SR_CONF_TRIGGER_MATCH | SR_CONF_LIST,
134 SR_CONF_CAPTURE_RATIO | SR_CONF_GET | SR_CONF_SET,
135};
136
137static const uint32_t dslogic_devopts[] = {
138 SR_CONF_CONTINUOUS | SR_CONF_SET | SR_CONF_GET,
139 SR_CONF_LIMIT_SAMPLES | SR_CONF_GET | SR_CONF_SET,
140 SR_CONF_VOLTAGE_THRESHOLD | SR_CONF_GET | SR_CONF_SET | SR_CONF_LIST,
141 SR_CONF_CONN | SR_CONF_GET,
142 SR_CONF_SAMPLERATE | SR_CONF_GET | SR_CONF_SET | SR_CONF_LIST,
143 SR_CONF_TRIGGER_MATCH | SR_CONF_LIST,
144 SR_CONF_CAPTURE_RATIO | SR_CONF_GET | SR_CONF_SET,
145 SR_CONF_EXTERNAL_CLOCK | SR_CONF_GET | SR_CONF_SET,
146 SR_CONF_CLOCK_EDGE | SR_CONF_GET | SR_CONF_SET | SR_CONF_LIST,
147};
148
149static const int32_t soft_trigger_matches[] = {
150 SR_TRIGGER_ZERO,
151 SR_TRIGGER_ONE,
152 SR_TRIGGER_RISING,
153 SR_TRIGGER_FALLING,
154 SR_TRIGGER_EDGE,
155};
156
157/* Names assigned to available edge slope choices. */
158static const char *const signal_edge_names[] = {
159 [DS_EDGE_RISING] = "rising",
160 [DS_EDGE_FALLING] = "falling",
161};
162
163static const struct {
164 int range;
165 gdouble low;
166 gdouble high;
167} volt_thresholds[] = {
168 { DS_VOLTAGE_RANGE_18_33_V, 0.7, 1.4 },
169 { DS_VOLTAGE_RANGE_5_V, 1.4, 3.6 },
170};
171
172static const uint64_t samplerates[] = {
173 SR_KHZ(20),
174 SR_KHZ(25),
175 SR_KHZ(50),
176 SR_KHZ(100),
177 SR_KHZ(200),
178 SR_KHZ(250),
179 SR_KHZ(500),
180 SR_MHZ(1),
181 SR_MHZ(2),
182 SR_MHZ(3),
183 SR_MHZ(4),
184 SR_MHZ(6),
185 SR_MHZ(8),
186 SR_MHZ(12),
187 SR_MHZ(16),
188 SR_MHZ(24),
189};
190
191static const uint64_t dslogic_samplerates[] = {
192 SR_KHZ(10),
193 SR_KHZ(20),
194 SR_KHZ(50),
195 SR_KHZ(100),
196 SR_KHZ(200),
197 SR_KHZ(500),
198 SR_MHZ(1),
199 SR_MHZ(2),
200 SR_MHZ(5),
201 SR_MHZ(10),
202 SR_MHZ(20),
203 SR_MHZ(25),
204 SR_MHZ(50),
205 SR_MHZ(100),
206 SR_MHZ(200),
207 SR_MHZ(400),
208};
209
210static GSList *scan(struct sr_dev_driver *di, GSList *options)
211{
212 struct drv_context *drvc;
213 struct dev_context *devc;
214 struct sr_dev_inst *sdi;
215 struct sr_usb_dev_inst *usb;
216 struct sr_channel *ch;
217 struct sr_channel_group *cg;
218 struct sr_config *src;
219 const struct fx2lafw_profile *prof;
220 GSList *l, *devices, *conn_devices;
221 gboolean has_firmware;
222 struct libusb_device_descriptor des;
223 libusb_device **devlist;
224 struct libusb_device_handle *hdl;
225 int ret, i, j;
226 int num_logic_channels = 0, num_analog_channels = 0;
227 const char *conn;
228 char manufacturer[64], product[64], serial_num[64], connection_id[64];
229 char channel_name[16];
230
231 drvc = di->context;
232
233 conn = NULL;
234 for (l = options; l; l = l->next) {
235 src = l->data;
236 switch (src->key) {
237 case SR_CONF_CONN:
238 conn = g_variant_get_string(src->data, NULL);
239 break;
240 }
241 }
242 if (conn)
243 conn_devices = sr_usb_find(drvc->sr_ctx->libusb_ctx, conn);
244 else
245 conn_devices = NULL;
246
247 /* Find all fx2lafw compatible devices and upload firmware to them. */
248 devices = NULL;
249 libusb_get_device_list(drvc->sr_ctx->libusb_ctx, &devlist);
250 for (i = 0; devlist[i]; i++) {
251 if (conn) {
252 usb = NULL;
253 for (l = conn_devices; l; l = l->next) {
254 usb = l->data;
255 if (usb->bus == libusb_get_bus_number(devlist[i])
256 && usb->address == libusb_get_device_address(devlist[i]))
257 break;
258 }
259 if (!l)
260 /* This device matched none of the ones that
261 * matched the conn specification. */
262 continue;
263 }
264
265 libusb_get_device_descriptor( devlist[i], &des);
266
267 if ((ret = libusb_open(devlist[i], &hdl)) < 0)
268 continue;
269
270 if (des.iManufacturer == 0) {
271 manufacturer[0] = '\0';
272 } else if ((ret = libusb_get_string_descriptor_ascii(hdl,
273 des.iManufacturer, (unsigned char *) manufacturer,
274 sizeof(manufacturer))) < 0) {
275 sr_warn("Failed to get manufacturer string descriptor: %s.",
276 libusb_error_name(ret));
277 continue;
278 }
279
280 if (des.iProduct == 0) {
281 product[0] = '\0';
282 } else if ((ret = libusb_get_string_descriptor_ascii(hdl,
283 des.iProduct, (unsigned char *) product,
284 sizeof(product))) < 0) {
285 sr_warn("Failed to get product string descriptor: %s.",
286 libusb_error_name(ret));
287 continue;
288 }
289
290 if (des.iSerialNumber == 0) {
291 serial_num[0] = '\0';
292 } else if ((ret = libusb_get_string_descriptor_ascii(hdl,
293 des.iSerialNumber, (unsigned char *) serial_num,
294 sizeof(serial_num))) < 0) {
295 sr_warn("Failed to get serial number string descriptor: %s.",
296 libusb_error_name(ret));
297 continue;
298 }
299
300 usb_get_port_path(devlist[i], connection_id, sizeof(connection_id));
301
302 libusb_close(hdl);
303
304 prof = NULL;
305 for (j = 0; supported_fx2[j].vid; j++) {
306 if (des.idVendor == supported_fx2[j].vid &&
307 des.idProduct == supported_fx2[j].pid &&
308 (!supported_fx2[j].usb_manufacturer ||
309 !strcmp(manufacturer, supported_fx2[j].usb_manufacturer)) &&
310 (!supported_fx2[j].usb_manufacturer ||
311 !strcmp(product, supported_fx2[j].usb_product))) {
312 prof = &supported_fx2[j];
313 break;
314 }
315 }
316
317 /* Skip if the device was not found. */
318 if (!prof)
319 continue;
320
321 sdi = g_malloc0(sizeof(struct sr_dev_inst));
322 sdi->status = SR_ST_INITIALIZING;
323 sdi->vendor = g_strdup(prof->vendor);
324 sdi->model = g_strdup(prof->model);
325 sdi->version = g_strdup(prof->model_version);
326 sdi->driver = di;
327 sdi->serial_num = g_strdup(serial_num);
328 sdi->connection_id = g_strdup(connection_id);
329
330 /* Fill in channellist according to this device's profile. */
331 num_logic_channels = prof->dev_caps & DEV_CAPS_16BIT ? 16 : 8;
332 num_analog_channels = prof->dev_caps & DEV_CAPS_AX_ANALOG ? 1 : 0;
333
334 /* Logic channels, all in one channel group. */
335 cg = g_malloc0(sizeof(struct sr_channel_group));
336 cg->name = g_strdup("Logic");
337 for (j = 0; j < num_logic_channels; j++) {
338 sprintf(channel_name, "D%d", j);
339 ch = sr_channel_new(sdi, j, SR_CHANNEL_LOGIC,
340 TRUE, channel_name);
341 cg->channels = g_slist_append(cg->channels, ch);
342 }
343 sdi->channel_groups = g_slist_append(NULL, cg);
344
345 for (j = 0; j < num_analog_channels; j++) {
346 snprintf(channel_name, 16, "A%d", j);
347 ch = sr_channel_new(sdi, j + num_logic_channels,
348 SR_CHANNEL_ANALOG, TRUE, channel_name);
349
350 /* Every analog channel gets its own channel group. */
351 cg = g_malloc0(sizeof(struct sr_channel_group));
352 cg->name = g_strdup(channel_name);
353 cg->channels = g_slist_append(NULL, ch);
354 sdi->channel_groups = g_slist_append(sdi->channel_groups, cg);
355 }
356
357 devc = fx2lafw_dev_new();
358 devc->profile = prof;
359 if ((prof->dev_caps & DEV_CAPS_16BIT) || (prof->dev_caps & DEV_CAPS_AX_ANALOG))
360 devc->sample_wide = TRUE;
361 sdi->priv = devc;
362 drvc->instances = g_slist_append(drvc->instances, sdi);
363 devices = g_slist_append(devices, sdi);
364
365 if (!strcmp(prof->model, "DSLogic")
366 || !strcmp(prof->model, "DSLogic Pro")
367 || !strcmp(prof->model, "DSCope")) {
368 devc->dslogic = TRUE;
369 devc->samplerates = dslogic_samplerates;
370 devc->num_samplerates = ARRAY_SIZE(dslogic_samplerates);
371 has_firmware = match_manuf_prod(devlist[i], "DreamSourceLab", "DSLogic")
372 || match_manuf_prod(devlist[i], "DreamSourceLab", "DSCope");
373 } else {
374 devc->dslogic = FALSE;
375 devc->samplerates = samplerates;
376 devc->num_samplerates = ARRAY_SIZE(samplerates);
377 has_firmware = match_manuf_prod(devlist[i],
378 "sigrok", "fx2lafw");
379 }
380
381 if (has_firmware) {
382 /* Already has the firmware, so fix the new address. */
383 sr_dbg("Found an fx2lafw device.");
384 sdi->status = SR_ST_INACTIVE;
385 sdi->inst_type = SR_INST_USB;
386 sdi->conn = sr_usb_dev_inst_new(libusb_get_bus_number(devlist[i]),
387 libusb_get_device_address(devlist[i]), NULL);
388 } else {
389 if (ezusb_upload_firmware(drvc->sr_ctx, devlist[i],
390 USB_CONFIGURATION, prof->firmware) == SR_OK)
391 /* Store when this device's FW was updated. */
392 devc->fw_updated = g_get_monotonic_time();
393 else
394 sr_err("Firmware upload failed for "
395 "device %d.%d (logical).",
396 libusb_get_bus_number(devlist[i]),
397 libusb_get_device_address(devlist[i]));
398 sdi->inst_type = SR_INST_USB;
399 sdi->conn = sr_usb_dev_inst_new(libusb_get_bus_number(devlist[i]),
400 0xff, NULL);
401 }
402 }
403 libusb_free_device_list(devlist, 1);
404 g_slist_free_full(conn_devices, (GDestroyNotify)sr_usb_dev_inst_free);
405
406 return devices;
407}
408
409static void clear_dev_context(void *priv)
410{
411 struct dev_context *devc;
412
413 devc = priv;
414 g_slist_free(devc->enabled_analog_channels);
415 g_free(devc);
416}
417
418static int dev_clear(const struct sr_dev_driver *di)
419{
420 return std_dev_clear(di, clear_dev_context);
421}
422
423static int dev_open(struct sr_dev_inst *sdi)
424{
425 struct sr_dev_driver *di = sdi->driver;
426 struct sr_usb_dev_inst *usb;
427 struct dev_context *devc;
428 const char *fpga_firmware = NULL;
429 int ret;
430 int64_t timediff_us, timediff_ms;
431
432 devc = sdi->priv;
433 usb = sdi->conn;
434
435 /*
436 * If the firmware was recently uploaded, wait up to MAX_RENUM_DELAY_MS
437 * milliseconds for the FX2 to renumerate.
438 */
439 ret = SR_ERR;
440 if (devc->fw_updated > 0) {
441 sr_info("Waiting for device to reset.");
442 /* Takes >= 300ms for the FX2 to be gone from the USB bus. */
443 g_usleep(300 * 1000);
444 timediff_ms = 0;
445 while (timediff_ms < MAX_RENUM_DELAY_MS) {
446 if ((ret = fx2lafw_dev_open(sdi, di)) == SR_OK)
447 break;
448 g_usleep(100 * 1000);
449
450 timediff_us = g_get_monotonic_time() - devc->fw_updated;
451 timediff_ms = timediff_us / 1000;
452 sr_spew("Waited %" PRIi64 "ms.", timediff_ms);
453 }
454 if (ret != SR_OK) {
455 sr_err("Device failed to renumerate.");
456 return SR_ERR;
457 }
458 sr_info("Device came back after %" PRIi64 "ms.", timediff_ms);
459 } else {
460 sr_info("Firmware upload was not needed.");
461 ret = fx2lafw_dev_open(sdi, di);
462 }
463
464 if (ret != SR_OK) {
465 sr_err("Unable to open device.");
466 return SR_ERR;
467 }
468
469 ret = libusb_claim_interface(usb->devhdl, USB_INTERFACE);
470 if (ret != 0) {
471 switch (ret) {
472 case LIBUSB_ERROR_BUSY:
473 sr_err("Unable to claim USB interface. Another "
474 "program or driver has already claimed it.");
475 break;
476 case LIBUSB_ERROR_NO_DEVICE:
477 sr_err("Device has been disconnected.");
478 break;
479 default:
480 sr_err("Unable to claim interface: %s.",
481 libusb_error_name(ret));
482 break;
483 }
484
485 return SR_ERR;
486 }
487
488 if (devc->dslogic) {
489 if (!strcmp(devc->profile->model, "DSLogic")) {
490 if (devc->dslogic_voltage_threshold == DS_VOLTAGE_RANGE_18_33_V)
491 fpga_firmware = DSLOGIC_FPGA_FIRMWARE_3V3;
492 else
493 fpga_firmware = DSLOGIC_FPGA_FIRMWARE_5V;
494 } else if (!strcmp(devc->profile->model, "DSLogic Pro")){
495 fpga_firmware = DSLOGIC_PRO_FPGA_FIRMWARE;
496 } else if (!strcmp(devc->profile->model, "DSCope")) {
497 fpga_firmware = DSCOPE_FPGA_FIRMWARE;
498 }
499
500 if ((ret = dslogic_fpga_firmware_upload(sdi, fpga_firmware)) != SR_OK)
501 return ret;
502 }
503 if (devc->cur_samplerate == 0) {
504 /* Samplerate hasn't been set; default to the slowest one. */
505 devc->cur_samplerate = devc->samplerates[0];
506 }
507
508 return SR_OK;
509}
510
511static int dev_close(struct sr_dev_inst *sdi)
512{
513 struct sr_usb_dev_inst *usb;
514
515 usb = sdi->conn;
516
517 if (!usb->devhdl)
518 return SR_ERR;
519
520 sr_info("fx2lafw: Closing device on %d.%d (logical) / %s (physical) interface %d.",
521 usb->bus, usb->address, sdi->connection_id, USB_INTERFACE);
522 libusb_release_interface(usb->devhdl, USB_INTERFACE);
523 libusb_close(usb->devhdl);
524 usb->devhdl = NULL;
525 sdi->status = SR_ST_INACTIVE;
526
527 return SR_OK;
528}
529
530static int config_get(uint32_t key, GVariant **data,
531 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
532{
533 struct dev_context *devc;
534 struct sr_usb_dev_inst *usb;
535 GVariant *range[2];
536 unsigned int i;
537 char str[128];
538
539 (void)cg;
540
541 if (!sdi)
542 return SR_ERR_ARG;
543
544 devc = sdi->priv;
545
546 switch (key) {
547 case SR_CONF_CONN:
548 if (!sdi->conn)
549 return SR_ERR_ARG;
550 usb = sdi->conn;
551 if (usb->address == 255)
552 /* Device still needs to re-enumerate after firmware
553 * upload, so we don't know its (future) address. */
554 return SR_ERR;
555 snprintf(str, 128, "%d.%d", usb->bus, usb->address);
556 *data = g_variant_new_string(str);
557 break;
558 case SR_CONF_VOLTAGE_THRESHOLD:
559 for (i = 0; i < ARRAY_SIZE(volt_thresholds); i++) {
560 if (volt_thresholds[i].range != devc->dslogic_voltage_threshold)
561 continue;
562 range[0] = g_variant_new_double(volt_thresholds[i].low);
563 range[1] = g_variant_new_double(volt_thresholds[i].high);
564 *data = g_variant_new_tuple(range, 2);
565 break;
566 }
567 break;
568 case SR_CONF_LIMIT_SAMPLES:
569 *data = g_variant_new_uint64(devc->limit_samples);
570 break;
571 case SR_CONF_SAMPLERATE:
572 *data = g_variant_new_uint64(devc->cur_samplerate);
573 break;
574 case SR_CONF_CAPTURE_RATIO:
575 *data = g_variant_new_uint64(devc->capture_ratio);
576 break;
577 case SR_CONF_EXTERNAL_CLOCK:
578 *data = g_variant_new_boolean(devc->dslogic_external_clock);
579 break;
580 case SR_CONF_CONTINUOUS:
581 *data = g_variant_new_boolean(devc->dslogic_continuous_mode);
582 break;
583 case SR_CONF_CLOCK_EDGE:
584 i = devc->dslogic_clock_edge;
585 if (i >= ARRAY_SIZE(signal_edge_names))
586 return SR_ERR_BUG;
587 *data = g_variant_new_string(signal_edge_names[0]);
588 break;
589 default:
590 return SR_ERR_NA;
591 }
592
593 return SR_OK;
594}
595
596/*
597 * Helper for mapping a string-typed configuration value to an index
598 * within a table of possible values.
599 */
600static int lookup_index(GVariant *value, const char *const *table, int len)
601{
602 const char *entry;
603 int i;
604
605 entry = g_variant_get_string(value, NULL);
606 if (!entry)
607 return -1;
608
609 /* Linear search is fine for very small tables. */
610 for (i = 0; i < len; i++) {
611 if (strcmp(entry, table[i]) == 0)
612 return i;
613 }
614
615 return -1;
616}
617
618static int config_set(uint32_t key, GVariant *data,
619 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
620{
621 struct dev_context *devc;
622 uint64_t arg;
623 int i, ret;
624 gdouble low, high;
625
626 (void)cg;
627
628 if (!sdi)
629 return SR_ERR_ARG;
630
631 if (sdi->status != SR_ST_ACTIVE)
632 return SR_ERR;
633
634 devc = sdi->priv;
635
636 ret = SR_OK;
637
638 switch (key) {
639 case SR_CONF_SAMPLERATE:
640 arg = g_variant_get_uint64(data);
641 for (i = 0; i < devc->num_samplerates; i++) {
642 if (devc->samplerates[i] == arg) {
643 devc->cur_samplerate = arg;
644 break;
645 }
646 }
647 if (i == devc->num_samplerates)
648 ret = SR_ERR_ARG;
649 break;
650 case SR_CONF_LIMIT_SAMPLES:
651 devc->limit_samples = g_variant_get_uint64(data);
652 break;
653 case SR_CONF_CAPTURE_RATIO:
654 devc->capture_ratio = g_variant_get_uint64(data);
655 ret = (devc->capture_ratio > 100) ? SR_ERR : SR_OK;
656 break;
657 case SR_CONF_VOLTAGE_THRESHOLD:
658 g_variant_get(data, "(dd)", &low, &high);
659 ret = SR_ERR_ARG;
660 for (i = 0; (unsigned int)i < ARRAY_SIZE(volt_thresholds); i++) {
661 if (fabs(volt_thresholds[i].low - low) < 0.1 &&
662 fabs(volt_thresholds[i].high - high) < 0.1) {
663 devc->dslogic_voltage_threshold = volt_thresholds[i].range;
664 break;
665 }
666 }
667 if (!strcmp(devc->profile->model, "DSLogic")) {
668 if (devc->dslogic_voltage_threshold == DS_VOLTAGE_RANGE_5_V)
669 ret = dslogic_fpga_firmware_upload(sdi, DSLOGIC_FPGA_FIRMWARE_5V);
670 else
671 ret = dslogic_fpga_firmware_upload(sdi, DSLOGIC_FPGA_FIRMWARE_3V3);
672 } else if (!strcmp(devc->profile->model, "DSLogic Pro")) {
673 ret = dslogic_fpga_firmware_upload(sdi, DSLOGIC_PRO_FPGA_FIRMWARE);
674 }
675 break;
676 case SR_CONF_EXTERNAL_CLOCK:
677 devc->dslogic_external_clock = g_variant_get_boolean(data);
678 break;
679 case SR_CONF_CONTINUOUS:
680 devc->dslogic_continuous_mode = g_variant_get_boolean(data);
681 break;
682 case SR_CONF_CLOCK_EDGE:
683 i = lookup_index(data, signal_edge_names,
684 ARRAY_SIZE(signal_edge_names));
685 if (i < 0)
686 return SR_ERR_ARG;
687 devc->dslogic_clock_edge = i;
688 break;
689 default:
690 ret = SR_ERR_NA;
691 }
692
693 return ret;
694}
695
696static int config_list(uint32_t key, GVariant **data,
697 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
698{
699 struct dev_context *devc;
700 GVariant *gvar, *range[2];
701 GVariantBuilder gvb;
702 unsigned int i;
703
704 (void)cg;
705
706 switch (key) {
707 case SR_CONF_SCAN_OPTIONS:
708 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_UINT32,
709 scanopts, ARRAY_SIZE(scanopts), sizeof(uint32_t));
710 break;
711 case SR_CONF_DEVICE_OPTIONS:
712 if (!sdi) {
713 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_UINT32,
714 drvopts, ARRAY_SIZE(drvopts), sizeof(uint32_t));
715 } else {
716 devc = sdi->priv;
717 if (!devc->dslogic)
718 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_UINT32,
719 devopts, ARRAY_SIZE(devopts), sizeof(uint32_t));
720 else
721 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_UINT32,
722 dslogic_devopts, ARRAY_SIZE(dslogic_devopts), sizeof(uint32_t));
723 }
724 break;
725 case SR_CONF_VOLTAGE_THRESHOLD:
726 if (!sdi->priv)
727 return SR_ERR_ARG;
728 devc = sdi->priv;
729 if (!devc->dslogic)
730 return SR_ERR_NA;
731 g_variant_builder_init(&gvb, G_VARIANT_TYPE_ARRAY);
732 for (i = 0; i < ARRAY_SIZE(volt_thresholds); i++) {
733 range[0] = g_variant_new_double(volt_thresholds[i].low);
734 range[1] = g_variant_new_double(volt_thresholds[i].high);
735 gvar = g_variant_new_tuple(range, 2);
736 g_variant_builder_add_value(&gvb, gvar);
737 }
738 *data = g_variant_builder_end(&gvb);
739 break;
740 case SR_CONF_SAMPLERATE:
741 devc = sdi->priv;
742 g_variant_builder_init(&gvb, G_VARIANT_TYPE("a{sv}"));
743 gvar = g_variant_new_fixed_array(G_VARIANT_TYPE("t"), devc->samplerates,
744 devc->num_samplerates, sizeof(uint64_t));
745 g_variant_builder_add(&gvb, "{sv}", "samplerates", gvar);
746 *data = g_variant_builder_end(&gvb);
747 break;
748 case SR_CONF_TRIGGER_MATCH:
749 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_INT32,
750 soft_trigger_matches, ARRAY_SIZE(soft_trigger_matches),
751 sizeof(int32_t));
752 break;
753 case SR_CONF_CLOCK_EDGE:
754 *data = g_variant_new_strv(signal_edge_names,
755 ARRAY_SIZE(signal_edge_names));
756 break;
757 default:
758 return SR_ERR_NA;
759 }
760
761 return SR_OK;
762}
763
764static int receive_data(int fd, int revents, void *cb_data)
765{
766 struct timeval tv;
767 struct drv_context *drvc;
768
769 (void)fd;
770 (void)revents;
771
772 drvc = (struct drv_context *)cb_data;
773
774 tv.tv_sec = tv.tv_usec = 0;
775 libusb_handle_events_timeout(drvc->sr_ctx->libusb_ctx, &tv);
776
777 return TRUE;
778}
779
780static int start_transfers(const struct sr_dev_inst *sdi)
781{
782 struct dev_context *devc;
783 struct sr_usb_dev_inst *usb;
784 struct sr_trigger *trigger;
785 struct libusb_transfer *transfer;
786 unsigned int i, num_transfers;
787 int endpoint, timeout, ret;
788 unsigned char *buf;
789 size_t size;
790
791 devc = sdi->priv;
792 usb = sdi->conn;
793
794 devc->sent_samples = 0;
795 devc->acq_aborted = FALSE;
796 devc->empty_transfer_count = 0;
797
798 if ((trigger = sr_session_trigger_get(sdi->session)) && !devc->dslogic) {
799 int pre_trigger_samples = 0;
800 if (devc->limit_samples > 0)
801 pre_trigger_samples = devc->capture_ratio * devc->limit_samples/100;
802 devc->stl = soft_trigger_logic_new(sdi, trigger, pre_trigger_samples);
803 if (!devc->stl)
804 return SR_ERR_MALLOC;
805 devc->trigger_fired = FALSE;
806 } else
807 devc->trigger_fired = TRUE;
808
809 num_transfers = fx2lafw_get_number_of_transfers(devc);
810
811 //if (devc->dslogic)
812 // num_transfers = dslogic_get_number_of_transfers(devc);
813
814 if (devc->dslogic) {
815 if (devc->cur_samplerate == SR_MHZ(100))
816 num_transfers = 16;
817 else if (devc->cur_samplerate == SR_MHZ(200))
818 num_transfers = 8;
819 else if (devc->cur_samplerate == SR_MHZ(400))
820 num_transfers = 4;
821 }
822
823 size = fx2lafw_get_buffer_size(devc);
824 devc->submitted_transfers = 0;
825
826 devc->transfers = g_try_malloc0(sizeof(*devc->transfers) * num_transfers);
827 if (!devc->transfers) {
828 sr_err("USB transfers malloc failed.");
829 return SR_ERR_MALLOC;
830 }
831
832 timeout = fx2lafw_get_timeout(devc);
833 endpoint = devc->dslogic ? 6 : 2;
834 devc->num_transfers = num_transfers;
835 for (i = 0; i < num_transfers; i++) {
836 if (!(buf = g_try_malloc(size))) {
837 sr_err("USB transfer buffer malloc failed.");
838 return SR_ERR_MALLOC;
839 }
840 transfer = libusb_alloc_transfer(0);
841 libusb_fill_bulk_transfer(transfer, usb->devhdl,
842 endpoint | LIBUSB_ENDPOINT_IN, buf, size,
843 fx2lafw_receive_transfer, (void *)sdi, timeout);
844 sr_info("submitting transfer: %d", i);
845 if ((ret = libusb_submit_transfer(transfer)) != 0) {
846 sr_err("Failed to submit transfer: %s.",
847 libusb_error_name(ret));
848 libusb_free_transfer(transfer);
849 g_free(buf);
850 fx2lafw_abort_acquisition(devc);
851 return SR_ERR;
852 }
853 devc->transfers[i] = transfer;
854 devc->submitted_transfers++;
855 }
856
857 if (devc->profile->dev_caps & DEV_CAPS_AX_ANALOG)
858 devc->send_data_proc = mso_send_data_proc;
859 else
860 devc->send_data_proc = la_send_data_proc;
861
862 std_session_send_df_header(sdi, LOG_PREFIX);
863
864 return SR_OK;
865}
866
867static void LIBUSB_CALL dslogic_trigger_receive(struct libusb_transfer *transfer)
868{
869 const struct sr_dev_inst *sdi;
870 struct dslogic_trigger_pos *tpos;
871 struct dev_context *devc;
872
873 sdi = transfer->user_data;
874 devc = sdi->priv;
875 if (transfer->status == LIBUSB_TRANSFER_CANCELLED) {
876 sr_dbg("Trigger transfer canceled.");
877 /* Terminate session. */
878 std_session_send_df_end(sdi, LOG_PREFIX);
879 usb_source_remove(sdi->session, devc->ctx);
880 devc->num_transfers = 0;
881 g_free(devc->transfers);
882 if (devc->stl) {
883 soft_trigger_logic_free(devc->stl);
884 devc->stl = NULL;
885 }
886 } else if (transfer->status == LIBUSB_TRANSFER_COMPLETED
887 && transfer->actual_length == sizeof(struct dslogic_trigger_pos)) {
888 tpos = (struct dslogic_trigger_pos *)transfer->buffer;
889 sr_info("tpos real_pos %d ram_saddr %d cnt %d", tpos->real_pos,
890 tpos->ram_saddr, tpos->remain_cnt);
891 devc->trigger_pos = tpos->real_pos;
892 g_free(tpos);
893 start_transfers(sdi);
894 }
895 libusb_free_transfer(transfer);
896}
897
898static int dslogic_trigger_request(const struct sr_dev_inst *sdi)
899{
900 struct sr_usb_dev_inst *usb;
901 struct libusb_transfer *transfer;
902 struct dslogic_trigger_pos *tpos;
903 struct dev_context *devc;
904 int ret;
905
906 usb = sdi->conn;
907 devc = sdi->priv;
908
909 if ((ret = dslogic_stop_acquisition(sdi)) != SR_OK)
910 return ret;
911
912 if ((ret = dslogic_fpga_configure(sdi)) != SR_OK)
913 return ret;
914
915 /* If this is a DSLogic Pro, set the voltage threshold. */
916 if (!strcmp(devc->profile->model, "DSLogic Pro")){
917 if (devc->dslogic_voltage_threshold == DS_VOLTAGE_RANGE_18_33_V) {
918 dslogic_set_vth(sdi, 1.4);
919 } else {
920 dslogic_set_vth(sdi, 3.3);
921 }
922 }
923
924 if ((ret = dslogic_start_acquisition(sdi)) != SR_OK)
925 return ret;
926
927 sr_dbg("Getting trigger.");
928 tpos = g_malloc(sizeof(struct dslogic_trigger_pos));
929 transfer = libusb_alloc_transfer(0);
930 libusb_fill_bulk_transfer(transfer, usb->devhdl, 6 | LIBUSB_ENDPOINT_IN,
931 (unsigned char *)tpos, sizeof(struct dslogic_trigger_pos),
932 dslogic_trigger_receive, (void *)sdi, 0);
933 if ((ret = libusb_submit_transfer(transfer)) < 0) {
934 sr_err("Failed to request trigger: %s.", libusb_error_name(ret));
935 libusb_free_transfer(transfer);
936 g_free(tpos);
937 return SR_ERR;
938 }
939
940 devc->transfers = g_try_malloc0(sizeof(*devc->transfers));
941 if (!devc->transfers) {
942 sr_err("USB trigger_pos transfer malloc failed.");
943 return SR_ERR_MALLOC;
944 }
945 devc->num_transfers = 1;
946 devc->submitted_transfers++;
947 devc->transfers[0] = transfer;
948
949 return ret;
950}
951
952static int configure_channels(const struct sr_dev_inst *sdi)
953{
954 struct dev_context *devc;
955 const GSList *l;
956 int p;
957 struct sr_channel *ch;
958
959 devc = sdi->priv;
960
961 g_slist_free(devc->enabled_analog_channels);
962 devc->enabled_analog_channels = NULL;
963 memset(devc->ch_enabled, 0, sizeof(devc->ch_enabled));
964
965 for (l = sdi->channels, p = 0; l; l = l->next, p++) {
966 ch = l->data;
967 if ((p <= NUM_CHANNELS) && (ch->type == SR_CHANNEL_ANALOG)) {
968 devc->ch_enabled[p] = ch->enabled;
969 devc->enabled_analog_channels =
970 g_slist_append(devc->enabled_analog_channels, ch);
971 }
972 }
973
974 return SR_OK;
975}
976
977static int dev_acquisition_start(const struct sr_dev_inst *sdi)
978{
979 struct sr_dev_driver *di;
980 struct drv_context *drvc;
981 struct dev_context *devc;
982 int timeout, ret;
983 size_t size;
984
985 if (sdi->status != SR_ST_ACTIVE)
986 return SR_ERR_DEV_CLOSED;
987
988 di = sdi->driver;
989 drvc = di->context;
990 devc = sdi->priv;
991
992 devc->ctx = drvc->sr_ctx;
993 devc->sent_samples = 0;
994 devc->empty_transfer_count = 0;
995 devc->acq_aborted = FALSE;
996
997 if (configure_channels(sdi) != SR_OK) {
998 sr_err("Failed to configure channels.");
999 return SR_ERR;
1000 }
1001
1002 timeout = fx2lafw_get_timeout(devc);
1003 usb_source_add(sdi->session, devc->ctx, timeout, receive_data, drvc);
1004
1005 if (devc->dslogic) {
1006 dslogic_trigger_request(sdi);
1007 } else {
1008 size = fx2lafw_get_buffer_size(devc);
1009 /* Prepare for analog sampling. */
1010 if (devc->profile->dev_caps & DEV_CAPS_AX_ANALOG) {
1011 /* We need a buffer half the size of a transfer. */
1012 devc->logic_buffer = g_try_malloc(size / 2);
1013 devc->analog_buffer = g_try_malloc(
1014 sizeof(float) * size / 2);
1015 }
1016 start_transfers(sdi);
1017 if ((ret = fx2lafw_command_start_acquisition(sdi)) != SR_OK) {
1018 fx2lafw_abort_acquisition(devc);
1019 return ret;
1020 }
1021 }
1022
1023 return SR_OK;
1024}
1025
1026static int dev_acquisition_stop(struct sr_dev_inst *sdi)
1027{
1028 struct dev_context *devc;
1029
1030 devc = sdi->priv;
1031
1032 if (devc->dslogic)
1033 dslogic_stop_acquisition(sdi);
1034
1035 fx2lafw_abort_acquisition(sdi->priv);
1036
1037 return SR_OK;
1038}
1039
1040static struct sr_dev_driver fx2lafw_driver_info = {
1041 .name = "fx2lafw",
1042 .longname = "fx2lafw (generic driver for FX2 based LAs)",
1043 .api_version = 1,
1044 .init = std_init,
1045 .cleanup = std_cleanup,
1046 .scan = scan,
1047 .dev_list = std_dev_list,
1048 .dev_clear = dev_clear,
1049 .config_get = config_get,
1050 .config_set = config_set,
1051 .config_list = config_list,
1052 .dev_open = dev_open,
1053 .dev_close = dev_close,
1054 .dev_acquisition_start = dev_acquisition_start,
1055 .dev_acquisition_stop = dev_acquisition_stop,
1056 .context = NULL,
1057};
1058SR_REGISTER_DEV_DRIVER(fx2lafw_driver_info);