]> sigrok.org Git - libsigrok.git/blame_incremental - src/hardware/fx2lafw/api.c
fx2lafw: Add new VID:PID for usb-c-grok
[libsigrok.git] / src / hardware / fx2lafw / api.c
... / ...
CommitLineData
1/*
2 * This file is part of the libsigrok project.
3 *
4 * Copyright (C) 2013 Bert Vermeulen <bert@biot.com>
5 * Copyright (C) 2012 Joel Holdsworth <joel@airwebreathe.org.uk>
6 *
7 * This program is free software: you can redistribute it and/or modify
8 * it under the terms of the GNU General Public License as published by
9 * the Free Software Foundation, either version 3 of the License, or
10 * (at your option) any later version.
11 *
12 * This program is distributed in the hope that it will be useful,
13 * but WITHOUT ANY WARRANTY; without even the implied warranty of
14 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
15 * GNU General Public License for more details.
16 *
17 * You should have received a copy of the GNU General Public License
18 * along with this program. If not, see <http://www.gnu.org/licenses/>.
19 */
20
21#include <config.h>
22#include "protocol.h"
23#include <math.h>
24
25static const struct fx2lafw_profile supported_fx2[] = {
26 /*
27 * CWAV USBee AX
28 * ARMFLY AX-Pro (clone of the CWAV USBee AX)
29 * ARMFLY Mini-Logic (clone of the CWAV USBee AX)
30 * EE Electronics ESLA201A (clone of the CWAV USBee AX)
31 * HT USBee-AxPro (clone of the CWAV USBee AX)
32 * MCU123 USBee AX Pro clone (clone of the CWAV USBee AX)
33 * Noname LHT00SU1 (clone of the CWAV USBee AX)
34 * XZL_Studio AX (clone of the CWAV USBee AX)
35 */
36 { 0x08a9, 0x0014, "CWAV", "USBee AX", NULL,
37 "fx2lafw-cwav-usbeeax.fw",
38 DEV_CAPS_AX_ANALOG, NULL, NULL},
39
40 /*
41 * CWAV USBee DX
42 * HT USBee-DxPro (clone of the CWAV USBee DX), not yet supported!
43 * XZL-Studio DX (clone of the CWAV USBee DX)
44 */
45 { 0x08a9, 0x0015, "CWAV", "USBee DX", NULL,
46 "fx2lafw-cwav-usbeedx.fw",
47 DEV_CAPS_16BIT, NULL, NULL },
48
49 /*
50 * CWAV USBee SX
51 */
52 { 0x08a9, 0x0009, "CWAV", "USBee SX", NULL,
53 "fx2lafw-cwav-usbeesx.fw",
54 0, NULL, NULL},
55
56 /*
57 * CWAV USBee ZX
58 */
59 { 0x08a9, 0x0005, "CWAV", "USBee ZX", NULL,
60 "fx2lafw-cwav-usbeezx.fw",
61 0, NULL, NULL},
62
63 /*
64 * Saleae Logic
65 * EE Electronics ESLA100 (clone of the Saleae Logic)
66 * Hantek 6022BL in LA mode (clone of the Saleae Logic)
67 * Instrustar ISDS205X in LA mode (clone of the Saleae Logic)
68 * Robomotic MiniLogic (clone of the Saleae Logic)
69 * Robomotic BugLogic 3 (clone of the Saleae Logic)
70 * MCU123 Saleae Logic clone (clone of the Saleae Logic)
71 */
72 { 0x0925, 0x3881, "Saleae", "Logic", NULL,
73 "fx2lafw-saleae-logic.fw",
74 0, NULL, NULL},
75
76 /*
77 * Default Cypress FX2 without EEPROM, e.g.:
78 * Lcsoft Mini Board
79 * Braintechnology USB Interface V2.x
80 * fx2grok-tiny
81 */
82 { 0x04B4, 0x8613, "Cypress", "FX2", NULL,
83 "fx2lafw-cypress-fx2.fw",
84 DEV_CAPS_16BIT, NULL, NULL },
85
86 /*
87 * Braintechnology USB-LPS
88 */
89 { 0x16d0, 0x0498, "Braintechnology", "USB-LPS", NULL,
90 "fx2lafw-braintechnology-usb-lps.fw",
91 DEV_CAPS_16BIT, NULL, NULL },
92
93 /*
94 * sigrok FX2 based 8-channel logic analyzer
95 * fx2grok-flat (before and after renumeration)
96 */
97 { 0x1d50, 0x608c, "sigrok", "FX2 LA (8ch)", NULL,
98 "fx2lafw-sigrok-fx2-8ch.fw",
99 0, NULL, NULL},
100
101 /*
102 * sigrok FX2 based 16-channel logic analyzer
103 */
104 { 0x1d50, 0x608d, "sigrok", "FX2 LA (16ch)", NULL,
105 "fx2lafw-sigrok-fx2-16ch.fw",
106 DEV_CAPS_16BIT, NULL, NULL },
107
108 /*
109 * usb-c-grok
110 */
111 { 0x1d50, 0x608f, "sigrok", "usb-c-grok", NULL,
112 "fx2lafw-usb-c-grok.fw",
113 0, NULL, NULL},
114
115 ALL_ZERO
116};
117
118static const uint32_t scanopts[] = {
119 SR_CONF_CONN,
120};
121
122static const uint32_t drvopts[] = {
123 SR_CONF_LOGIC_ANALYZER,
124};
125
126static const uint32_t devopts[] = {
127 SR_CONF_CONTINUOUS,
128 SR_CONF_LIMIT_SAMPLES | SR_CONF_GET | SR_CONF_SET,
129 SR_CONF_CONN | SR_CONF_GET,
130 SR_CONF_SAMPLERATE | SR_CONF_GET | SR_CONF_SET | SR_CONF_LIST,
131 SR_CONF_TRIGGER_MATCH | SR_CONF_LIST,
132 SR_CONF_CAPTURE_RATIO | SR_CONF_GET | SR_CONF_SET,
133};
134
135static const int32_t trigger_matches[] = {
136 SR_TRIGGER_ZERO,
137 SR_TRIGGER_ONE,
138 SR_TRIGGER_RISING,
139 SR_TRIGGER_FALLING,
140 SR_TRIGGER_EDGE,
141};
142
143static const uint64_t samplerates[] = {
144 SR_KHZ(20),
145 SR_KHZ(25),
146 SR_KHZ(50),
147 SR_KHZ(100),
148 SR_KHZ(200),
149 SR_KHZ(250),
150 SR_KHZ(500),
151 SR_MHZ(1),
152 SR_MHZ(2),
153 SR_MHZ(3),
154 SR_MHZ(4),
155 SR_MHZ(6),
156 SR_MHZ(8),
157 SR_MHZ(12),
158 SR_MHZ(16),
159 SR_MHZ(24),
160};
161
162static gboolean is_plausible(const struct libusb_device_descriptor *des)
163{
164 int i;
165
166 for (i = 0; supported_fx2[i].vid; i++) {
167 if (des->idVendor != supported_fx2[i].vid)
168 continue;
169 if (des->idProduct == supported_fx2[i].pid)
170 return TRUE;
171 }
172
173 return FALSE;
174}
175
176static GSList *scan(struct sr_dev_driver *di, GSList *options)
177{
178 struct drv_context *drvc;
179 struct dev_context *devc;
180 struct sr_dev_inst *sdi;
181 struct sr_usb_dev_inst *usb;
182 struct sr_channel *ch;
183 struct sr_channel_group *cg;
184 struct sr_config *src;
185 const struct fx2lafw_profile *prof;
186 GSList *l, *devices, *conn_devices;
187 gboolean has_firmware;
188 struct libusb_device_descriptor des;
189 libusb_device **devlist;
190 struct libusb_device_handle *hdl;
191 int ret, i, j;
192 int num_logic_channels = 0, num_analog_channels = 0;
193 const char *conn;
194 char manufacturer[64], product[64], serial_num[64], connection_id[64];
195 char channel_name[16];
196
197 drvc = di->context;
198
199 conn = NULL;
200 for (l = options; l; l = l->next) {
201 src = l->data;
202 switch (src->key) {
203 case SR_CONF_CONN:
204 conn = g_variant_get_string(src->data, NULL);
205 break;
206 }
207 }
208 if (conn)
209 conn_devices = sr_usb_find(drvc->sr_ctx->libusb_ctx, conn);
210 else
211 conn_devices = NULL;
212
213 /* Find all fx2lafw compatible devices and upload firmware to them. */
214 devices = NULL;
215 libusb_get_device_list(drvc->sr_ctx->libusb_ctx, &devlist);
216 for (i = 0; devlist[i]; i++) {
217 if (conn) {
218 usb = NULL;
219 for (l = conn_devices; l; l = l->next) {
220 usb = l->data;
221 if (usb->bus == libusb_get_bus_number(devlist[i])
222 && usb->address == libusb_get_device_address(devlist[i]))
223 break;
224 }
225 if (!l)
226 /* This device matched none of the ones that
227 * matched the conn specification. */
228 continue;
229 }
230
231 libusb_get_device_descriptor( devlist[i], &des);
232
233 if (!is_plausible(&des))
234 continue;
235
236 if ((ret = libusb_open(devlist[i], &hdl)) < 0) {
237 sr_warn("Failed to open potential device with "
238 "VID:PID %04x:%04x: %s.", des.idVendor,
239 des.idProduct, libusb_error_name(ret));
240 continue;
241 }
242
243 if (des.iManufacturer == 0) {
244 manufacturer[0] = '\0';
245 } else if ((ret = libusb_get_string_descriptor_ascii(hdl,
246 des.iManufacturer, (unsigned char *) manufacturer,
247 sizeof(manufacturer))) < 0) {
248 sr_warn("Failed to get manufacturer string descriptor: %s.",
249 libusb_error_name(ret));
250 continue;
251 }
252
253 if (des.iProduct == 0) {
254 product[0] = '\0';
255 } else if ((ret = libusb_get_string_descriptor_ascii(hdl,
256 des.iProduct, (unsigned char *) product,
257 sizeof(product))) < 0) {
258 sr_warn("Failed to get product string descriptor: %s.",
259 libusb_error_name(ret));
260 continue;
261 }
262
263 if (des.iSerialNumber == 0) {
264 serial_num[0] = '\0';
265 } else if ((ret = libusb_get_string_descriptor_ascii(hdl,
266 des.iSerialNumber, (unsigned char *) serial_num,
267 sizeof(serial_num))) < 0) {
268 sr_warn("Failed to get serial number string descriptor: %s.",
269 libusb_error_name(ret));
270 continue;
271 }
272
273 libusb_close(hdl);
274
275 if (usb_get_port_path(devlist[i], connection_id, sizeof(connection_id)) < 0)
276 continue;
277
278 prof = NULL;
279 for (j = 0; supported_fx2[j].vid; j++) {
280 if (des.idVendor == supported_fx2[j].vid &&
281 des.idProduct == supported_fx2[j].pid &&
282 (!supported_fx2[j].usb_manufacturer ||
283 !strcmp(manufacturer, supported_fx2[j].usb_manufacturer)) &&
284 (!supported_fx2[j].usb_product ||
285 !strcmp(product, supported_fx2[j].usb_product))) {
286 prof = &supported_fx2[j];
287 break;
288 }
289 }
290
291 if (!prof)
292 continue;
293
294 sdi = g_malloc0(sizeof(struct sr_dev_inst));
295 sdi->status = SR_ST_INITIALIZING;
296 sdi->vendor = g_strdup(prof->vendor);
297 sdi->model = g_strdup(prof->model);
298 sdi->version = g_strdup(prof->model_version);
299 sdi->serial_num = g_strdup(serial_num);
300 sdi->connection_id = g_strdup(connection_id);
301
302 /* Fill in channellist according to this device's profile. */
303 num_logic_channels = prof->dev_caps & DEV_CAPS_16BIT ? 16 : 8;
304 num_analog_channels = prof->dev_caps & DEV_CAPS_AX_ANALOG ? 1 : 0;
305
306 /* Logic channels, all in one channel group. */
307 cg = g_malloc0(sizeof(struct sr_channel_group));
308 cg->name = g_strdup("Logic");
309 for (j = 0; j < num_logic_channels; j++) {
310 sprintf(channel_name, "D%d", j);
311 ch = sr_channel_new(sdi, j, SR_CHANNEL_LOGIC,
312 TRUE, channel_name);
313 cg->channels = g_slist_append(cg->channels, ch);
314 }
315 sdi->channel_groups = g_slist_append(NULL, cg);
316
317 for (j = 0; j < num_analog_channels; j++) {
318 snprintf(channel_name, 16, "A%d", j);
319 ch = sr_channel_new(sdi, j + num_logic_channels,
320 SR_CHANNEL_ANALOG, TRUE, channel_name);
321
322 /* Every analog channel gets its own channel group. */
323 cg = g_malloc0(sizeof(struct sr_channel_group));
324 cg->name = g_strdup(channel_name);
325 cg->channels = g_slist_append(NULL, ch);
326 sdi->channel_groups = g_slist_append(sdi->channel_groups, cg);
327 }
328
329 devc = fx2lafw_dev_new();
330 devc->profile = prof;
331 sdi->priv = devc;
332 devices = g_slist_append(devices, sdi);
333
334 devc->samplerates = samplerates;
335 devc->num_samplerates = ARRAY_SIZE(samplerates);
336 has_firmware = usb_match_manuf_prod(devlist[i],
337 "sigrok", "fx2lafw");
338
339 if (has_firmware) {
340 /* Already has the firmware, so fix the new address. */
341 sr_dbg("Found an fx2lafw device.");
342 sdi->status = SR_ST_INACTIVE;
343 sdi->inst_type = SR_INST_USB;
344 sdi->conn = sr_usb_dev_inst_new(libusb_get_bus_number(devlist[i]),
345 libusb_get_device_address(devlist[i]), NULL);
346 } else {
347 if (ezusb_upload_firmware(drvc->sr_ctx, devlist[i],
348 USB_CONFIGURATION, prof->firmware) == SR_OK) {
349 /* Store when this device's FW was updated. */
350 devc->fw_updated = g_get_monotonic_time();
351 } else {
352 sr_err("Firmware upload failed for "
353 "device %d.%d (logical), name %s.",
354 libusb_get_bus_number(devlist[i]),
355 libusb_get_device_address(devlist[i]),
356 prof->firmware);
357 }
358 sdi->inst_type = SR_INST_USB;
359 sdi->conn = sr_usb_dev_inst_new(libusb_get_bus_number(devlist[i]),
360 0xff, NULL);
361 }
362 }
363 libusb_free_device_list(devlist, 1);
364 g_slist_free_full(conn_devices, (GDestroyNotify)sr_usb_dev_inst_free);
365
366 return std_scan_complete(di, devices);
367}
368
369static void clear_helper(struct dev_context *devc)
370{
371 g_slist_free(devc->enabled_analog_channels);
372}
373
374static int dev_clear(const struct sr_dev_driver *di)
375{
376 return std_dev_clear_with_callback(di, (std_dev_clear_callback)clear_helper);
377}
378
379static int dev_open(struct sr_dev_inst *sdi)
380{
381 struct sr_dev_driver *di = sdi->driver;
382 struct sr_usb_dev_inst *usb;
383 struct dev_context *devc;
384 int ret;
385 int64_t timediff_us, timediff_ms;
386
387 devc = sdi->priv;
388 usb = sdi->conn;
389
390 /*
391 * If the firmware was recently uploaded, wait up to MAX_RENUM_DELAY_MS
392 * milliseconds for the FX2 to renumerate.
393 */
394 ret = SR_ERR;
395 if (devc->fw_updated > 0) {
396 sr_info("Waiting for device to reset.");
397 /* Takes >= 300ms for the FX2 to be gone from the USB bus. */
398 g_usleep(300 * 1000);
399 timediff_ms = 0;
400 while (timediff_ms < MAX_RENUM_DELAY_MS) {
401 if ((ret = fx2lafw_dev_open(sdi, di)) == SR_OK)
402 break;
403 g_usleep(100 * 1000);
404
405 timediff_us = g_get_monotonic_time() - devc->fw_updated;
406 timediff_ms = timediff_us / 1000;
407 sr_spew("Waited %" PRIi64 "ms.", timediff_ms);
408 }
409 if (ret != SR_OK) {
410 sr_err("Device failed to renumerate.");
411 return SR_ERR;
412 }
413 sr_info("Device came back after %" PRIi64 "ms.", timediff_ms);
414 } else {
415 sr_info("Firmware upload was not needed.");
416 ret = fx2lafw_dev_open(sdi, di);
417 }
418
419 if (ret != SR_OK) {
420 sr_err("Unable to open device.");
421 return SR_ERR;
422 }
423
424 ret = libusb_claim_interface(usb->devhdl, USB_INTERFACE);
425 if (ret != 0) {
426 switch (ret) {
427 case LIBUSB_ERROR_BUSY:
428 sr_err("Unable to claim USB interface. Another "
429 "program or driver has already claimed it.");
430 break;
431 case LIBUSB_ERROR_NO_DEVICE:
432 sr_err("Device has been disconnected.");
433 break;
434 default:
435 sr_err("Unable to claim interface: %s.",
436 libusb_error_name(ret));
437 break;
438 }
439
440 return SR_ERR;
441 }
442
443 if (devc->cur_samplerate == 0) {
444 /* Samplerate hasn't been set; default to the slowest one. */
445 devc->cur_samplerate = devc->samplerates[0];
446 }
447
448 return SR_OK;
449}
450
451static int dev_close(struct sr_dev_inst *sdi)
452{
453 struct sr_usb_dev_inst *usb;
454
455 usb = sdi->conn;
456
457 if (!usb->devhdl)
458 return SR_ERR_BUG;
459
460 sr_info("Closing device on %d.%d (logical) / %s (physical) interface %d.",
461 usb->bus, usb->address, sdi->connection_id, USB_INTERFACE);
462 libusb_release_interface(usb->devhdl, USB_INTERFACE);
463 libusb_close(usb->devhdl);
464 usb->devhdl = NULL;
465
466 return SR_OK;
467}
468
469static int config_get(uint32_t key, GVariant **data,
470 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
471{
472 struct dev_context *devc;
473 struct sr_usb_dev_inst *usb;
474
475 (void)cg;
476
477 if (!sdi)
478 return SR_ERR_ARG;
479
480 devc = sdi->priv;
481
482 switch (key) {
483 case SR_CONF_CONN:
484 if (!sdi->conn)
485 return SR_ERR_ARG;
486 usb = sdi->conn;
487 if (usb->address == 255)
488 /* Device still needs to re-enumerate after firmware
489 * upload, so we don't know its (future) address. */
490 return SR_ERR;
491 *data = g_variant_new_printf("%d.%d", usb->bus, usb->address);
492 break;
493 case SR_CONF_LIMIT_SAMPLES:
494 *data = g_variant_new_uint64(devc->limit_samples);
495 break;
496 case SR_CONF_SAMPLERATE:
497 *data = g_variant_new_uint64(devc->cur_samplerate);
498 break;
499 case SR_CONF_CAPTURE_RATIO:
500 *data = g_variant_new_uint64(devc->capture_ratio);
501 break;
502 default:
503 return SR_ERR_NA;
504 }
505
506 return SR_OK;
507}
508
509static int config_set(uint32_t key, GVariant *data,
510 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
511{
512 struct dev_context *devc;
513 int idx;
514
515 (void)cg;
516
517 if (!sdi)
518 return SR_ERR_ARG;
519
520 devc = sdi->priv;
521
522 switch (key) {
523 case SR_CONF_SAMPLERATE:
524 if ((idx = std_u64_idx(data, devc->samplerates, devc->num_samplerates)) < 0)
525 return SR_ERR_ARG;
526 devc->cur_samplerate = devc->samplerates[idx];
527 break;
528 case SR_CONF_LIMIT_SAMPLES:
529 devc->limit_samples = g_variant_get_uint64(data);
530 break;
531 case SR_CONF_CAPTURE_RATIO:
532 devc->capture_ratio = g_variant_get_uint64(data);
533 break;
534 default:
535 return SR_ERR_NA;
536 }
537
538 return SR_OK;
539}
540
541static int config_list(uint32_t key, GVariant **data,
542 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
543{
544 struct dev_context *devc;
545
546 devc = (sdi) ? sdi->priv : NULL;
547
548 switch (key) {
549 case SR_CONF_SCAN_OPTIONS:
550 case SR_CONF_DEVICE_OPTIONS:
551 if (cg)
552 return SR_ERR_NA;
553 return STD_CONFIG_LIST(key, data, sdi, cg, scanopts, drvopts, devopts);
554 case SR_CONF_SAMPLERATE:
555 if (!devc)
556 return SR_ERR_NA;
557 *data = std_gvar_samplerates(devc->samplerates, devc->num_samplerates);
558 break;
559 case SR_CONF_TRIGGER_MATCH:
560 *data = std_gvar_array_i32(ARRAY_AND_SIZE(trigger_matches));
561 break;
562 default:
563 return SR_ERR_NA;
564 }
565
566 return SR_OK;
567}
568
569static int dev_acquisition_stop(struct sr_dev_inst *sdi)
570{
571 fx2lafw_abort_acquisition(sdi->priv);
572
573 return SR_OK;
574}
575
576static struct sr_dev_driver fx2lafw_driver_info = {
577 .name = "fx2lafw",
578 .longname = "fx2lafw (generic driver for FX2 based LAs)",
579 .api_version = 1,
580 .init = std_init,
581 .cleanup = std_cleanup,
582 .scan = scan,
583 .dev_list = std_dev_list,
584 .dev_clear = dev_clear,
585 .config_get = config_get,
586 .config_set = config_set,
587 .config_list = config_list,
588 .dev_open = dev_open,
589 .dev_close = dev_close,
590 .dev_acquisition_start = fx2lafw_start_acquisition,
591 .dev_acquisition_stop = dev_acquisition_stop,
592 .context = NULL,
593};
594SR_REGISTER_DEV_DRIVER(fx2lafw_driver_info);