]> sigrok.org Git - libsigrok.git/blame_incremental - src/hardware/fx2lafw/api.c
fx2lafw: add support for "probe names" scan option
[libsigrok.git] / src / hardware / fx2lafw / api.c
... / ...
CommitLineData
1/*
2 * This file is part of the libsigrok project.
3 *
4 * Copyright (C) 2013 Bert Vermeulen <bert@biot.com>
5 * Copyright (C) 2012 Joel Holdsworth <joel@airwebreathe.org.uk>
6 *
7 * This program is free software: you can redistribute it and/or modify
8 * it under the terms of the GNU General Public License as published by
9 * the Free Software Foundation, either version 3 of the License, or
10 * (at your option) any later version.
11 *
12 * This program is distributed in the hope that it will be useful,
13 * but WITHOUT ANY WARRANTY; without even the implied warranty of
14 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
15 * GNU General Public License for more details.
16 *
17 * You should have received a copy of the GNU General Public License
18 * along with this program. If not, see <http://www.gnu.org/licenses/>.
19 */
20
21#include <config.h>
22#include "protocol.h"
23#include <math.h>
24
25static const struct fx2lafw_profile supported_fx2[] = {
26 /*
27 * CWAV USBee AX
28 * ARMFLY AX-Pro (clone of the CWAV USBee AX)
29 * ARMFLY Mini-Logic (clone of the CWAV USBee AX)
30 * EE Electronics ESLA201A (clone of the CWAV USBee AX)
31 * HT USBee-AxPro (clone of the CWAV USBee AX)
32 * MCU123 USBee AX Pro clone (clone of the CWAV USBee AX)
33 * Noname LHT00SU1 (clone of the CWAV USBee AX)
34 * XZL_Studio AX (clone of the CWAV USBee AX)
35 */
36 { 0x08a9, 0x0014, "CWAV", "USBee AX", NULL,
37 "fx2lafw-cwav-usbeeax.fw",
38 DEV_CAPS_AX_ANALOG, NULL, NULL},
39
40 /*
41 * CWAV USBee DX
42 * HT USBee-DxPro (clone of the CWAV USBee DX), not yet supported!
43 * XZL-Studio DX (clone of the CWAV USBee DX)
44 */
45 { 0x08a9, 0x0015, "CWAV", "USBee DX", NULL,
46 "fx2lafw-cwav-usbeedx.fw",
47 DEV_CAPS_16BIT, NULL, NULL },
48
49 /*
50 * CWAV USBee SX
51 */
52 { 0x08a9, 0x0009, "CWAV", "USBee SX", NULL,
53 "fx2lafw-cwav-usbeesx.fw",
54 0, NULL, NULL},
55
56 /*
57 * CWAV USBee ZX
58 */
59 { 0x08a9, 0x0005, "CWAV", "USBee ZX", NULL,
60 "fx2lafw-cwav-usbeezx.fw",
61 0, NULL, NULL},
62
63 /*
64 * Saleae Logic
65 * EE Electronics ESLA100 (clone of the Saleae Logic)
66 * Hantek 6022BL in LA mode (clone of the Saleae Logic)
67 * Instrustar ISDS205X in LA mode (clone of the Saleae Logic)
68 * Robomotic MiniLogic (clone of the Saleae Logic)
69 * Robomotic BugLogic 3 (clone of the Saleae Logic)
70 * MCU123 Saleae Logic clone (clone of the Saleae Logic)
71 */
72 { 0x0925, 0x3881, "Saleae", "Logic", NULL,
73 "fx2lafw-saleae-logic.fw",
74 0, NULL, NULL},
75
76 /*
77 * Default Cypress FX2 without EEPROM, e.g.:
78 * Lcsoft Mini Board
79 * Braintechnology USB Interface V2.x
80 * fx2grok-tiny
81 */
82 { 0x04B4, 0x8613, "Cypress", "FX2", NULL,
83 "fx2lafw-cypress-fx2.fw",
84 DEV_CAPS_16BIT, NULL, NULL },
85
86 /*
87 * Braintechnology USB-LPS
88 */
89 { 0x16d0, 0x0498, "Braintechnology", "USB-LPS", NULL,
90 "fx2lafw-braintechnology-usb-lps.fw",
91 DEV_CAPS_16BIT, NULL, NULL },
92
93 /*
94 * sigrok FX2 based 8-channel logic analyzer
95 * fx2grok-flat (before and after renumeration)
96 */
97 { 0x1d50, 0x608c, "sigrok", "FX2 LA (8ch)", NULL,
98 "fx2lafw-sigrok-fx2-8ch.fw",
99 0, NULL, NULL},
100
101 /*
102 * sigrok FX2 based 16-channel logic analyzer
103 */
104 { 0x1d50, 0x608d, "sigrok", "FX2 LA (16ch)", NULL,
105 "fx2lafw-sigrok-fx2-16ch.fw",
106 DEV_CAPS_16BIT, NULL, NULL },
107
108 /*
109 * usb-c-grok
110 */
111 { 0x1d50, 0x608f, "sigrok", "usb-c-grok", NULL,
112 "fx2lafw-usb-c-grok.fw",
113 0, NULL, NULL},
114
115 ALL_ZERO
116};
117
118static const uint32_t scanopts[] = {
119 SR_CONF_CONN,
120 SR_CONF_PROBE_NAMES,
121};
122
123static const uint32_t drvopts[] = {
124 SR_CONF_LOGIC_ANALYZER,
125};
126
127static const uint32_t devopts[] = {
128 SR_CONF_CONTINUOUS,
129 SR_CONF_LIMIT_FRAMES | SR_CONF_GET | SR_CONF_SET,
130 SR_CONF_LIMIT_SAMPLES | SR_CONF_GET | SR_CONF_SET,
131 SR_CONF_CONN | SR_CONF_GET,
132 SR_CONF_SAMPLERATE | SR_CONF_GET | SR_CONF_SET | SR_CONF_LIST,
133 SR_CONF_TRIGGER_MATCH | SR_CONF_LIST,
134 SR_CONF_CAPTURE_RATIO | SR_CONF_GET | SR_CONF_SET,
135};
136
137static const int32_t trigger_matches[] = {
138 SR_TRIGGER_ZERO,
139 SR_TRIGGER_ONE,
140 SR_TRIGGER_RISING,
141 SR_TRIGGER_FALLING,
142 SR_TRIGGER_EDGE,
143};
144
145static const uint64_t samplerates[] = {
146 SR_KHZ(20),
147 SR_KHZ(25),
148 SR_KHZ(50),
149 SR_KHZ(100),
150 SR_KHZ(200),
151 SR_KHZ(250),
152 SR_KHZ(500),
153 SR_MHZ(1),
154 SR_MHZ(2),
155 SR_MHZ(3),
156 SR_MHZ(4),
157 SR_MHZ(6),
158 SR_MHZ(8),
159 SR_MHZ(12),
160 SR_MHZ(16),
161 SR_MHZ(24),
162 SR_MHZ(48),
163};
164
165static const char *channel_names_logic[] = {
166 "D0", "D1", "D2", "D3", "D4", "D5", "D6", "D7",
167 "D8", "D9", "D10", "D11", "D12", "D13", "D14", "D15",
168};
169
170static const char *channel_names_analog[] = {
171 "A0", "A1", "A2", "A3",
172};
173
174static gboolean is_plausible(const struct libusb_device_descriptor *des)
175{
176 int i;
177
178 for (i = 0; supported_fx2[i].vid; i++) {
179 if (des->idVendor != supported_fx2[i].vid)
180 continue;
181 if (des->idProduct == supported_fx2[i].pid)
182 return TRUE;
183 }
184
185 return FALSE;
186}
187
188static GSList *scan(struct sr_dev_driver *di, GSList *options)
189{
190 struct drv_context *drvc;
191 struct dev_context *devc;
192 struct sr_dev_inst *sdi;
193 struct sr_usb_dev_inst *usb;
194 struct sr_channel *ch;
195 struct sr_channel_group *cg;
196 struct sr_config *src;
197 const struct fx2lafw_profile *prof;
198 GSList *l, *devices, *conn_devices;
199 gboolean has_firmware;
200 struct libusb_device_descriptor des;
201 libusb_device **devlist;
202 struct libusb_device_handle *hdl;
203 int ret, i;
204 size_t j, num_logic_channels, num_analog_channels;
205 const char *conn;
206 const char *probe_names;
207 char manufacturer[64], product[64], serial_num[64], connection_id[64];
208 size_t ch_max, ch_idx;
209 const char *channel_name;
210
211 drvc = di->context;
212
213 conn = NULL;
214 probe_names = NULL;
215 for (l = options; l; l = l->next) {
216 src = l->data;
217 switch (src->key) {
218 case SR_CONF_CONN:
219 conn = g_variant_get_string(src->data, NULL);
220 break;
221 case SR_CONF_PROBE_NAMES:
222 probe_names = g_variant_get_string(src->data, NULL);
223 break;
224 }
225 }
226 if (conn)
227 conn_devices = sr_usb_find(drvc->sr_ctx->libusb_ctx, conn);
228 else
229 conn_devices = NULL;
230
231 /* Find all fx2lafw compatible devices and upload firmware to them. */
232 devices = NULL;
233 libusb_get_device_list(drvc->sr_ctx->libusb_ctx, &devlist);
234 for (i = 0; devlist[i]; i++) {
235 if (conn) {
236 usb = NULL;
237 for (l = conn_devices; l; l = l->next) {
238 usb = l->data;
239 if (usb->bus == libusb_get_bus_number(devlist[i])
240 && usb->address == libusb_get_device_address(devlist[i]))
241 break;
242 }
243 if (!l)
244 /* This device matched none of the ones that
245 * matched the conn specification. */
246 continue;
247 }
248
249 libusb_get_device_descriptor( devlist[i], &des);
250
251 if (!is_plausible(&des))
252 continue;
253
254 if ((ret = libusb_open(devlist[i], &hdl)) < 0) {
255 sr_warn("Failed to open potential device with "
256 "VID:PID %04x:%04x: %s.", des.idVendor,
257 des.idProduct, libusb_error_name(ret));
258 continue;
259 }
260
261 if (des.iManufacturer == 0) {
262 manufacturer[0] = '\0';
263 } else if ((ret = libusb_get_string_descriptor_ascii(hdl,
264 des.iManufacturer, (unsigned char *) manufacturer,
265 sizeof(manufacturer))) < 0) {
266 sr_warn("Failed to get manufacturer string descriptor: %s.",
267 libusb_error_name(ret));
268 continue;
269 }
270
271 if (des.iProduct == 0) {
272 product[0] = '\0';
273 } else if ((ret = libusb_get_string_descriptor_ascii(hdl,
274 des.iProduct, (unsigned char *) product,
275 sizeof(product))) < 0) {
276 sr_warn("Failed to get product string descriptor: %s.",
277 libusb_error_name(ret));
278 continue;
279 }
280
281 if (des.iSerialNumber == 0) {
282 serial_num[0] = '\0';
283 } else if ((ret = libusb_get_string_descriptor_ascii(hdl,
284 des.iSerialNumber, (unsigned char *) serial_num,
285 sizeof(serial_num))) < 0) {
286 sr_warn("Failed to get serial number string descriptor: %s.",
287 libusb_error_name(ret));
288 continue;
289 }
290
291 libusb_close(hdl);
292
293 if (usb_get_port_path(devlist[i], connection_id, sizeof(connection_id)) < 0)
294 continue;
295
296 prof = NULL;
297 for (j = 0; supported_fx2[j].vid; j++) {
298 if (des.idVendor == supported_fx2[j].vid &&
299 des.idProduct == supported_fx2[j].pid &&
300 (!supported_fx2[j].usb_manufacturer ||
301 !strcmp(manufacturer, supported_fx2[j].usb_manufacturer)) &&
302 (!supported_fx2[j].usb_product ||
303 !strcmp(product, supported_fx2[j].usb_product))) {
304 prof = &supported_fx2[j];
305 break;
306 }
307 }
308
309 if (!prof)
310 continue;
311
312 sdi = g_malloc0(sizeof(struct sr_dev_inst));
313 sdi->status = SR_ST_INITIALIZING;
314 sdi->vendor = g_strdup(prof->vendor);
315 sdi->model = g_strdup(prof->model);
316 sdi->version = g_strdup(prof->model_version);
317 sdi->serial_num = g_strdup(serial_num);
318 sdi->connection_id = g_strdup(connection_id);
319
320 devc = fx2lafw_dev_new();
321 devc->profile = prof;
322 sdi->priv = devc;
323 devices = g_slist_append(devices, sdi);
324
325 /* Fill in channellist according to this device's profile. */
326 num_logic_channels = prof->dev_caps & DEV_CAPS_16BIT ? 16 : 8;
327 if (num_logic_channels > ARRAY_SIZE(channel_names_logic))
328 num_logic_channels = ARRAY_SIZE(channel_names_logic);
329 num_analog_channels = prof->dev_caps & DEV_CAPS_AX_ANALOG ? 1 : 0;
330 if (num_analog_channels > ARRAY_SIZE(channel_names_analog))
331 num_analog_channels = ARRAY_SIZE(channel_names_analog);
332
333 /*
334 * Allow user specs to override the builtin probe names.
335 *
336 * Implementor's note: Because the device's number of
337 * logic channels is not known at compile time, and thus
338 * the location of the analog channel names is not known
339 * at compile time, and the construction of a list with
340 * default names at runtime is not done here, and we
341 * don't want to keep several default lists around, this
342 * implementation only supports to override the names of
343 * logic probes. The use case which motivated the config
344 * key is protocol decoders, which are logic only.
345 */
346 ch_max = num_logic_channels;
347 devc->channel_names = sr_parse_probe_names(probe_names,
348 channel_names_logic, ch_max, ch_max, &ch_max);
349 ch_idx = 0;
350
351 /* Logic channels, all in one channel group. */
352 cg = sr_channel_group_new(sdi, "Logic", NULL);
353 for (j = 0; j < num_logic_channels; j++) {
354 channel_name = devc->channel_names[j];
355 ch = sr_channel_new(sdi, ch_idx++, SR_CHANNEL_LOGIC,
356 TRUE, channel_name);
357 cg->channels = g_slist_append(cg->channels, ch);
358 }
359
360 for (j = 0; j < num_analog_channels; j++) {
361 channel_name = channel_names_analog[j];
362 ch = sr_channel_new(sdi, ch_idx++, SR_CHANNEL_ANALOG,
363 TRUE, channel_name);
364
365 /* Every analog channel gets its own channel group. */
366 cg = sr_channel_group_new(sdi, channel_name, NULL);
367 cg->channels = g_slist_append(NULL, ch);
368 }
369
370 devc->samplerates = samplerates;
371 devc->num_samplerates = ARRAY_SIZE(samplerates);
372 has_firmware = usb_match_manuf_prod(devlist[i],
373 "sigrok", "fx2lafw");
374
375 if (has_firmware) {
376 /* Already has the firmware, so fix the new address. */
377 sr_dbg("Found an fx2lafw device.");
378 sdi->status = SR_ST_INACTIVE;
379 sdi->inst_type = SR_INST_USB;
380 sdi->conn = sr_usb_dev_inst_new(libusb_get_bus_number(devlist[i]),
381 libusb_get_device_address(devlist[i]), NULL);
382 } else {
383 if (ezusb_upload_firmware(drvc->sr_ctx, devlist[i],
384 USB_CONFIGURATION, prof->firmware) == SR_OK) {
385 /* Store when this device's FW was updated. */
386 devc->fw_updated = g_get_monotonic_time();
387 } else {
388 sr_err("Firmware upload failed for "
389 "device %d.%d (logical), name %s.",
390 libusb_get_bus_number(devlist[i]),
391 libusb_get_device_address(devlist[i]),
392 prof->firmware);
393 }
394 sdi->inst_type = SR_INST_USB;
395 sdi->conn = sr_usb_dev_inst_new(libusb_get_bus_number(devlist[i]),
396 0xff, NULL);
397 }
398 }
399 libusb_free_device_list(devlist, 1);
400 g_slist_free_full(conn_devices, (GDestroyNotify)sr_usb_dev_inst_free);
401
402 return std_scan_complete(di, devices);
403}
404
405static void clear_helper(struct dev_context *devc)
406{
407 g_slist_free(devc->enabled_analog_channels);
408}
409
410static int dev_clear(const struct sr_dev_driver *di)
411{
412 return std_dev_clear_with_callback(di, (std_dev_clear_callback)clear_helper);
413}
414
415static int dev_open(struct sr_dev_inst *sdi)
416{
417 struct sr_dev_driver *di = sdi->driver;
418 struct sr_usb_dev_inst *usb;
419 struct dev_context *devc;
420 int ret;
421 int64_t timediff_us, timediff_ms;
422
423 devc = sdi->priv;
424 usb = sdi->conn;
425
426 /*
427 * If the firmware was recently uploaded, wait up to MAX_RENUM_DELAY_MS
428 * milliseconds for the FX2 to renumerate.
429 */
430 ret = SR_ERR;
431 if (devc->fw_updated > 0) {
432 sr_info("Waiting for device to reset.");
433 /* Takes >= 300ms for the FX2 to be gone from the USB bus. */
434 g_usleep(300 * 1000);
435 timediff_ms = 0;
436 while (timediff_ms < MAX_RENUM_DELAY_MS) {
437 if ((ret = fx2lafw_dev_open(sdi, di)) == SR_OK)
438 break;
439 g_usleep(100 * 1000);
440
441 timediff_us = g_get_monotonic_time() - devc->fw_updated;
442 timediff_ms = timediff_us / 1000;
443 sr_spew("Waited %" PRIi64 "ms.", timediff_ms);
444 }
445 if (ret != SR_OK) {
446 sr_err("Device failed to renumerate.");
447 return SR_ERR;
448 }
449 sr_info("Device came back after %" PRIi64 "ms.", timediff_ms);
450 } else {
451 sr_info("Firmware upload was not needed.");
452 ret = fx2lafw_dev_open(sdi, di);
453 }
454
455 if (ret != SR_OK) {
456 sr_err("Unable to open device.");
457 return SR_ERR;
458 }
459
460 ret = libusb_claim_interface(usb->devhdl, USB_INTERFACE);
461 if (ret != 0) {
462 switch (ret) {
463 case LIBUSB_ERROR_BUSY:
464 sr_err("Unable to claim USB interface. Another "
465 "program or driver has already claimed it.");
466 break;
467 case LIBUSB_ERROR_NO_DEVICE:
468 sr_err("Device has been disconnected.");
469 break;
470 default:
471 sr_err("Unable to claim interface: %s.",
472 libusb_error_name(ret));
473 break;
474 }
475
476 return SR_ERR;
477 }
478
479 if (devc->cur_samplerate == 0) {
480 /* Samplerate hasn't been set; default to the slowest one. */
481 devc->cur_samplerate = devc->samplerates[0];
482 }
483
484 return SR_OK;
485}
486
487static int dev_close(struct sr_dev_inst *sdi)
488{
489 struct sr_usb_dev_inst *usb;
490
491 usb = sdi->conn;
492
493 if (!usb->devhdl)
494 return SR_ERR_BUG;
495
496 sr_info("Closing device on %d.%d (logical) / %s (physical) interface %d.",
497 usb->bus, usb->address, sdi->connection_id, USB_INTERFACE);
498 libusb_release_interface(usb->devhdl, USB_INTERFACE);
499 libusb_close(usb->devhdl);
500 usb->devhdl = NULL;
501
502 return SR_OK;
503}
504
505static int config_get(uint32_t key, GVariant **data,
506 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
507{
508 struct dev_context *devc;
509 struct sr_usb_dev_inst *usb;
510
511 (void)cg;
512
513 if (!sdi)
514 return SR_ERR_ARG;
515
516 devc = sdi->priv;
517
518 switch (key) {
519 case SR_CONF_CONN:
520 if (!sdi->conn)
521 return SR_ERR_ARG;
522 usb = sdi->conn;
523 if (usb->address == 255)
524 /* Device still needs to re-enumerate after firmware
525 * upload, so we don't know its (future) address. */
526 return SR_ERR;
527 *data = g_variant_new_printf("%d.%d", usb->bus, usb->address);
528 break;
529 case SR_CONF_LIMIT_FRAMES:
530 *data = g_variant_new_uint64(devc->limit_frames);
531 break;
532 case SR_CONF_LIMIT_SAMPLES:
533 *data = g_variant_new_uint64(devc->limit_samples);
534 break;
535 case SR_CONF_SAMPLERATE:
536 *data = g_variant_new_uint64(devc->cur_samplerate);
537 break;
538 case SR_CONF_CAPTURE_RATIO:
539 *data = g_variant_new_uint64(devc->capture_ratio);
540 break;
541 default:
542 return SR_ERR_NA;
543 }
544
545 return SR_OK;
546}
547
548static int config_set(uint32_t key, GVariant *data,
549 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
550{
551 struct dev_context *devc;
552 int idx;
553
554 (void)cg;
555
556 if (!sdi)
557 return SR_ERR_ARG;
558
559 devc = sdi->priv;
560
561 switch (key) {
562 case SR_CONF_SAMPLERATE:
563 if ((idx = std_u64_idx(data, devc->samplerates, devc->num_samplerates)) < 0)
564 return SR_ERR_ARG;
565 devc->cur_samplerate = devc->samplerates[idx];
566 break;
567 case SR_CONF_LIMIT_FRAMES:
568 devc->limit_frames = g_variant_get_uint64(data);
569 break;
570 case SR_CONF_LIMIT_SAMPLES:
571 devc->limit_samples = g_variant_get_uint64(data);
572 break;
573 case SR_CONF_CAPTURE_RATIO:
574 devc->capture_ratio = g_variant_get_uint64(data);
575 break;
576 default:
577 return SR_ERR_NA;
578 }
579
580 return SR_OK;
581}
582
583static int config_list(uint32_t key, GVariant **data,
584 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
585{
586 struct dev_context *devc;
587
588 devc = (sdi) ? sdi->priv : NULL;
589
590 switch (key) {
591 case SR_CONF_SCAN_OPTIONS:
592 case SR_CONF_DEVICE_OPTIONS:
593 if (cg)
594 return SR_ERR_NA;
595 return STD_CONFIG_LIST(key, data, sdi, cg, scanopts, drvopts, devopts);
596 case SR_CONF_SAMPLERATE:
597 if (!devc)
598 return SR_ERR_NA;
599 *data = std_gvar_samplerates(devc->samplerates, devc->num_samplerates);
600 break;
601 case SR_CONF_TRIGGER_MATCH:
602 *data = std_gvar_array_i32(ARRAY_AND_SIZE(trigger_matches));
603 break;
604 default:
605 return SR_ERR_NA;
606 }
607
608 return SR_OK;
609}
610
611static int dev_acquisition_stop(struct sr_dev_inst *sdi)
612{
613 fx2lafw_abort_acquisition(sdi->priv);
614
615 return SR_OK;
616}
617
618static struct sr_dev_driver fx2lafw_driver_info = {
619 .name = "fx2lafw",
620 .longname = "fx2lafw (generic driver for FX2 based LAs)",
621 .api_version = 1,
622 .init = std_init,
623 .cleanup = std_cleanup,
624 .scan = scan,
625 .dev_list = std_dev_list,
626 .dev_clear = dev_clear,
627 .config_get = config_get,
628 .config_set = config_set,
629 .config_list = config_list,
630 .dev_open = dev_open,
631 .dev_close = dev_close,
632 .dev_acquisition_start = fx2lafw_start_acquisition,
633 .dev_acquisition_stop = dev_acquisition_stop,
634 .context = NULL,
635};
636SR_REGISTER_DEV_DRIVER(fx2lafw_driver_info);