]> sigrok.org Git - libsigrok.git/blame_incremental - hardware/openbench-logic-sniffer/ols.c
sr: Fix/document probe names.
[libsigrok.git] / hardware / openbench-logic-sniffer / ols.c
... / ...
CommitLineData
1/*
2 * This file is part of the sigrok project.
3 *
4 * Copyright (C) 2010-2012 Bert Vermeulen <bert@biot.com>
5 *
6 * This program is free software: you can redistribute it and/or modify
7 * it under the terms of the GNU General Public License as published by
8 * the Free Software Foundation, either version 3 of the License, or
9 * (at your option) any later version.
10 *
11 * This program is distributed in the hope that it will be useful,
12 * but WITHOUT ANY WARRANTY; without even the implied warranty of
13 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
14 * GNU General Public License for more details.
15 *
16 * You should have received a copy of the GNU General Public License
17 * along with this program. If not, see <http://www.gnu.org/licenses/>.
18 */
19
20#include <stdio.h>
21#include <stdint.h>
22#include <stdlib.h>
23#include <sys/types.h>
24#include <sys/stat.h>
25#include <fcntl.h>
26#include <unistd.h>
27#ifdef _WIN32
28#include <windows.h>
29#else
30#include <termios.h>
31#endif
32#include <string.h>
33#include <sys/time.h>
34#include <inttypes.h>
35#ifdef _WIN32
36/* TODO */
37#else
38#include <arpa/inet.h>
39#endif
40#include <glib.h>
41#include "sigrok.h"
42#include "sigrok-internal.h"
43#include "ols.h"
44
45#ifdef _WIN32
46#define O_NONBLOCK FIONBIO
47#endif
48
49static int hwcaps[] = {
50 SR_HWCAP_LOGIC_ANALYZER,
51 SR_HWCAP_SAMPLERATE,
52 SR_HWCAP_CAPTURE_RATIO,
53 SR_HWCAP_LIMIT_SAMPLES,
54 SR_HWCAP_RLE,
55 0,
56};
57
58/* Probes are numbered 0-31 (on the PCB silkscreen). */
59static const char *probe_names[NUM_PROBES + 1] = {
60 "0",
61 "1",
62 "2",
63 "3",
64 "4",
65 "5",
66 "6",
67 "7",
68 "8",
69 "9",
70 "10",
71 "11",
72 "12",
73 "13",
74 "14",
75 "15",
76 "16",
77 "17",
78 "18",
79 "19",
80 "20",
81 "21",
82 "22",
83 "23",
84 "24",
85 "25",
86 "26",
87 "27",
88 "28",
89 "29",
90 "30",
91 "31",
92 NULL,
93};
94
95/* default supported samplerates, can be overridden by device metadata */
96static struct sr_samplerates samplerates = {
97 SR_HZ(10),
98 SR_MHZ(200),
99 SR_HZ(1),
100 NULL,
101};
102
103/* List of struct sr_serial_dev_inst */
104static GSList *dev_insts = NULL;
105
106static int send_shortcommand(int fd, uint8_t command)
107{
108 char buf[1];
109
110 sr_dbg("ols: sending cmd 0x%.2x", command);
111 buf[0] = command;
112 if (serial_write(fd, buf, 1) != 1)
113 return SR_ERR;
114
115 return SR_OK;
116}
117
118static int send_longcommand(int fd, uint8_t command, uint32_t data)
119{
120 char buf[5];
121
122 sr_dbg("ols: sending cmd 0x%.2x data 0x%.8x", command, data);
123 buf[0] = command;
124 buf[1] = (data & 0xff000000) >> 24;
125 buf[2] = (data & 0xff0000) >> 16;
126 buf[3] = (data & 0xff00) >> 8;
127 buf[4] = data & 0xff;
128 if (serial_write(fd, buf, 5) != 5)
129 return SR_ERR;
130
131 return SR_OK;
132}
133
134static int configure_probes(struct context *ctx, GSList *probes)
135{
136 struct sr_probe *probe;
137 GSList *l;
138 int probe_bit, stage, i;
139 char *tc;
140
141 ctx->probe_mask = 0;
142 for (i = 0; i < NUM_TRIGGER_STAGES; i++) {
143 ctx->trigger_mask[i] = 0;
144 ctx->trigger_value[i] = 0;
145 }
146
147 ctx->num_stages = 0;
148 for (l = probes; l; l = l->next) {
149 probe = (struct sr_probe *)l->data;
150 if (!probe->enabled)
151 continue;
152
153 /*
154 * Set up the probe mask for later configuration into the
155 * flag register.
156 */
157 probe_bit = 1 << (probe->index - 1);
158 ctx->probe_mask |= probe_bit;
159
160 if (!probe->trigger)
161 continue;
162
163 /* Configure trigger mask and value. */
164 stage = 0;
165 for (tc = probe->trigger; tc && *tc; tc++) {
166 ctx->trigger_mask[stage] |= probe_bit;
167 if (*tc == '1')
168 ctx->trigger_value[stage] |= probe_bit;
169 stage++;
170 if (stage > 3)
171 /*
172 * TODO: Only supporting parallel mode, with
173 * up to 4 stages.
174 */
175 return SR_ERR;
176 }
177 if (stage > ctx->num_stages)
178 ctx->num_stages = stage;
179 }
180
181 return SR_OK;
182}
183
184static uint32_t reverse16(uint32_t in)
185{
186 uint32_t out;
187
188 out = (in & 0xff) << 8;
189 out |= (in & 0xff00) >> 8;
190 out |= (in & 0xff0000) << 8;
191 out |= (in & 0xff000000) >> 8;
192
193 return out;
194}
195
196static uint32_t reverse32(uint32_t in)
197{
198 uint32_t out;
199
200 out = (in & 0xff) << 24;
201 out |= (in & 0xff00) << 8;
202 out |= (in & 0xff0000) >> 8;
203 out |= (in & 0xff000000) >> 24;
204
205 return out;
206}
207
208static struct context *ols_dev_new(void)
209{
210 struct context *ctx;
211
212 /* TODO: Is 'ctx' ever g_free()'d? */
213 if (!(ctx = g_try_malloc0(sizeof(struct context)))) {
214 sr_err("ols: %s: ctx malloc failed", __func__);
215 return NULL;
216 }
217
218 ctx->trigger_at = -1;
219 ctx->probe_mask = 0xffffffff;
220 ctx->cur_samplerate = SR_KHZ(200);
221 ctx->serial = NULL;
222
223 return ctx;
224}
225
226static struct sr_dev_inst *get_metadata(int fd)
227{
228 struct sr_dev_inst *sdi;
229 struct context *ctx;
230 uint32_t tmp_int;
231 uint8_t key, type, token;
232 GString *tmp_str, *devname, *version;
233 gchar tmp_c;
234
235 sdi = sr_dev_inst_new(0, SR_ST_INACTIVE, NULL, NULL, NULL);
236 ctx = ols_dev_new();
237 sdi->priv = ctx;
238
239 devname = g_string_new("");
240 version = g_string_new("");
241
242 key = 0xff;
243 while (key) {
244 if (serial_read(fd, &key, 1) != 1 || key == 0x00)
245 break;
246 type = key >> 5;
247 token = key & 0x1f;
248 switch (type) {
249 case 0:
250 /* NULL-terminated string */
251 tmp_str = g_string_new("");
252 while (serial_read(fd, &tmp_c, 1) == 1 && tmp_c != '\0')
253 g_string_append_c(tmp_str, tmp_c);
254 sr_dbg("ols: got metadata key 0x%.2x value '%s'",
255 key, tmp_str->str);
256 switch (token) {
257 case 0x01:
258 /* Device name */
259 devname = g_string_append(devname, tmp_str->str);
260 break;
261 case 0x02:
262 /* FPGA firmware version */
263 if (version->len)
264 g_string_append(version, ", ");
265 g_string_append(version, "FPGA version ");
266 g_string_append(version, tmp_str->str);
267 break;
268 case 0x03:
269 /* Ancillary version */
270 if (version->len)
271 g_string_append(version, ", ");
272 g_string_append(version, "Ancillary version ");
273 g_string_append(version, tmp_str->str);
274 break;
275 default:
276 sr_info("ols: unknown token 0x%.2x: '%s'",
277 token, tmp_str->str);
278 break;
279 }
280 g_string_free(tmp_str, TRUE);
281 break;
282 case 1:
283 /* 32-bit unsigned integer */
284 if (serial_read(fd, &tmp_int, 4) != 4)
285 break;
286 tmp_int = reverse32(tmp_int);
287 sr_dbg("ols: got metadata key 0x%.2x value 0x%.8x",
288 key, tmp_int);
289 switch (token) {
290 case 0x00:
291 /* Number of usable probes */
292 ctx->num_probes = tmp_int;
293 break;
294 case 0x01:
295 /* Amount of sample memory available (bytes) */
296 ctx->max_samples = tmp_int;
297 break;
298 case 0x02:
299 /* Amount of dynamic memory available (bytes) */
300 /* what is this for? */
301 break;
302 case 0x03:
303 /* Maximum sample rate (hz) */
304 ctx->max_samplerate = tmp_int;
305 break;
306 case 0x04:
307 /* protocol version */
308 ctx->protocol_version = tmp_int;
309 break;
310 default:
311 sr_info("ols: unknown token 0x%.2x: 0x%.8x",
312 token, tmp_int);
313 break;
314 }
315 break;
316 case 2:
317 /* 8-bit unsigned integer */
318 if (serial_read(fd, &tmp_c, 1) != 1)
319 break;
320 sr_dbg("ols: got metadata key 0x%.2x value 0x%.2x",
321 key, tmp_c);
322 switch (token) {
323 case 0x00:
324 /* Number of usable probes */
325 ctx->num_probes = tmp_c;
326 break;
327 case 0x01:
328 /* protocol version */
329 ctx->protocol_version = tmp_c;
330 break;
331 default:
332 sr_info("ols: unknown token 0x%.2x: 0x%.2x",
333 token, tmp_c);
334 break;
335 }
336 break;
337 default:
338 /* unknown type */
339 break;
340 }
341 }
342
343 sdi->model = devname->str;
344 sdi->version = version->str;
345 g_string_free(devname, FALSE);
346 g_string_free(version, FALSE);
347
348 return sdi;
349}
350
351static int hw_init(const char *devinfo)
352{
353 struct sr_dev_inst *sdi;
354 struct context *ctx;
355 GSList *ports, *l;
356 GPollFD *fds, probefd;
357 int devcnt, final_devcnt, num_ports, fd, ret, i;
358 char buf[8], **dev_names, **serial_params;
359
360 final_devcnt = 0;
361
362 if (devinfo)
363 ports = g_slist_append(NULL, g_strdup(devinfo));
364 else
365 /* No specific device given, so scan all serial ports. */
366 ports = list_serial_ports();
367
368 num_ports = g_slist_length(ports);
369
370 if (!(fds = g_try_malloc0(num_ports * sizeof(GPollFD)))) {
371 sr_err("ols: %s: fds malloc failed", __func__);
372 goto hw_init_free_ports; /* TODO: SR_ERR_MALLOC. */
373 }
374
375 if (!(dev_names = g_try_malloc(num_ports * sizeof(char *)))) {
376 sr_err("ols: %s: dev_names malloc failed", __func__);
377 goto hw_init_free_fds; /* TODO: SR_ERR_MALLOC. */
378 }
379
380 if (!(serial_params = g_try_malloc(num_ports * sizeof(char *)))) {
381 sr_err("ols: %s: serial_params malloc failed", __func__);
382 goto hw_init_free_dev_names; /* TODO: SR_ERR_MALLOC. */
383 }
384
385 devcnt = 0;
386 for (l = ports; l; l = l->next) {
387 /* The discovery procedure is like this: first send the Reset
388 * command (0x00) 5 times, since the device could be anywhere
389 * in a 5-byte command. Then send the ID command (0x02).
390 * If the device responds with 4 bytes ("OLS1" or "SLA1"), we
391 * have a match.
392 *
393 * Since it may take the device a while to respond at 115Kb/s,
394 * we do all the sending first, then wait for all of them to
395 * respond with g_poll().
396 */
397 sr_info("ols: probing %s...", (char *)l->data);
398 fd = serial_open(l->data, O_RDWR | O_NONBLOCK);
399 if (fd != -1) {
400 serial_params[devcnt] = serial_backup_params(fd);
401 serial_set_params(fd, 115200, 8, 0, 1, 2);
402 ret = SR_OK;
403 for (i = 0; i < 5; i++) {
404 if ((ret = send_shortcommand(fd,
405 CMD_RESET)) != SR_OK) {
406 /* Serial port is not writable. */
407 break;
408 }
409 }
410 if (ret != SR_OK) {
411 serial_restore_params(fd,
412 serial_params[devcnt]);
413 serial_close(fd);
414 continue;
415 }
416 send_shortcommand(fd, CMD_ID);
417 fds[devcnt].fd = fd;
418 fds[devcnt].events = G_IO_IN;
419 dev_names[devcnt] = g_strdup(l->data);
420 devcnt++;
421 }
422 g_free(l->data);
423 }
424
425 /* 2ms isn't enough for reliable transfer with pl2303, let's try 10 */
426 usleep(10000);
427
428 g_poll(fds, devcnt, 1);
429
430 for (i = 0; i < devcnt; i++) {
431 if (fds[i].revents != G_IO_IN)
432 continue;
433 if (serial_read(fds[i].fd, buf, 4) != 4)
434 continue;
435 if (strncmp(buf, "1SLO", 4) && strncmp(buf, "1ALS", 4))
436 continue;
437
438 /* definitely using the OLS protocol, check if it supports
439 * the metadata command
440 */
441 send_shortcommand(fds[i].fd, CMD_METADATA);
442 probefd.fd = fds[i].fd;
443 probefd.events = G_IO_IN;
444 if (g_poll(&probefd, 1, 10) > 0) {
445 /* got metadata */
446 sdi = get_metadata(fds[i].fd);
447 sdi->index = final_devcnt;
448 } else {
449 /* not an OLS -- some other board that uses the sump protocol */
450 sdi = sr_dev_inst_new(final_devcnt, SR_ST_INACTIVE,
451 "Sump", "Logic Analyzer", "v1.0");
452 ctx = ols_dev_new();
453 ctx->num_probes = 32;
454 sdi->priv = ctx;
455 }
456 ctx->serial = sr_serial_dev_inst_new(dev_names[i], -1);
457 dev_insts = g_slist_append(dev_insts, sdi);
458 final_devcnt++;
459 serial_close(fds[i].fd);
460 fds[i].fd = 0;
461 }
462
463 /* clean up after all the probing */
464 for (i = 0; i < devcnt; i++) {
465 if (fds[i].fd != 0) {
466 serial_restore_params(fds[i].fd, serial_params[i]);
467 serial_close(fds[i].fd);
468 }
469 g_free(serial_params[i]);
470 g_free(dev_names[i]);
471 }
472
473 g_free(serial_params);
474hw_init_free_dev_names:
475 g_free(dev_names);
476hw_init_free_fds:
477 g_free(fds);
478hw_init_free_ports:
479 g_slist_free(ports);
480
481 return final_devcnt;
482}
483
484static int hw_dev_open(int dev_index)
485{
486 struct sr_dev_inst *sdi;
487 struct context *ctx;
488
489 if (!(sdi = sr_dev_inst_get(dev_insts, dev_index)))
490 return SR_ERR;
491
492 ctx = sdi->priv;
493
494 ctx->serial->fd = serial_open(ctx->serial->port, O_RDWR);
495 if (ctx->serial->fd == -1)
496 return SR_ERR;
497
498 sdi->status = SR_ST_ACTIVE;
499
500 return SR_OK;
501}
502
503static int hw_dev_close(int dev_index)
504{
505 struct sr_dev_inst *sdi;
506 struct context *ctx;
507
508 if (!(sdi = sr_dev_inst_get(dev_insts, dev_index))) {
509 sr_err("ols: %s: sdi was NULL", __func__);
510 return SR_ERR; /* TODO: SR_ERR_ARG? */
511 }
512
513 ctx = sdi->priv;
514
515 /* TODO */
516 if (ctx->serial->fd != -1) {
517 serial_close(ctx->serial->fd);
518 ctx->serial->fd = -1;
519 sdi->status = SR_ST_INACTIVE;
520 }
521
522 return SR_OK;
523}
524
525static int hw_cleanup(void)
526{
527 GSList *l;
528 struct sr_dev_inst *sdi;
529 struct context *ctx;
530 int ret = SR_OK;
531
532 /* Properly close and free all devices. */
533 for (l = dev_insts; l; l = l->next) {
534 if (!(sdi = l->data)) {
535 /* Log error, but continue cleaning up the rest. */
536 sr_err("ols: %s: sdi was NULL, continuing", __func__);
537 ret = SR_ERR_BUG;
538 continue;
539 }
540 if (!(ctx = sdi->priv)) {
541 /* Log error, but continue cleaning up the rest. */
542 sr_err("ols: %s: sdi->priv was NULL, continuing",
543 __func__);
544 ret = SR_ERR_BUG;
545 continue;
546 }
547 /* TODO: Check for serial != NULL. */
548 if (ctx->serial->fd != -1)
549 serial_close(ctx->serial->fd);
550 sr_serial_dev_inst_free(ctx->serial);
551 sr_dev_inst_free(sdi);
552 }
553 g_slist_free(dev_insts);
554 dev_insts = NULL;
555
556 return ret;
557}
558
559static void *hw_dev_info_get(int dev_index, int dev_info_id)
560{
561 struct sr_dev_inst *sdi;
562 struct context *ctx;
563 void *info;
564
565 if (!(sdi = sr_dev_inst_get(dev_insts, dev_index)))
566 return NULL;
567 ctx = sdi->priv;
568
569 info = NULL;
570 switch (dev_info_id) {
571 case SR_DI_INST:
572 info = sdi;
573 break;
574 case SR_DI_NUM_PROBES:
575 info = GINT_TO_POINTER(NUM_PROBES);
576 break;
577 case SR_DI_PROBE_NAMES:
578 info = probe_names;
579 break;
580 case SR_DI_SAMPLERATES:
581 info = &samplerates;
582 break;
583 case SR_DI_TRIGGER_TYPES:
584 info = (char *)TRIGGER_TYPES;
585 break;
586 case SR_DI_CUR_SAMPLERATE:
587 info = &ctx->cur_samplerate;
588 break;
589 }
590
591 return info;
592}
593
594static int hw_dev_status_get(int dev_index)
595{
596 struct sr_dev_inst *sdi;
597
598 if (!(sdi = sr_dev_inst_get(dev_insts, dev_index)))
599 return SR_ST_NOT_FOUND;
600
601 return sdi->status;
602}
603
604static int *hw_hwcap_get_all(void)
605{
606 return hwcaps;
607}
608
609static int set_samplerate(struct sr_dev_inst *sdi, uint64_t samplerate)
610{
611 struct context *ctx;
612
613 ctx = sdi->priv;
614 if (ctx->max_samplerate) {
615 if (samplerate > ctx->max_samplerate)
616 return SR_ERR_SAMPLERATE;
617 } else if (samplerate < samplerates.low || samplerate > samplerates.high)
618 return SR_ERR_SAMPLERATE;
619
620 if (samplerate > CLOCK_RATE) {
621 ctx->flag_reg |= FLAG_DEMUX;
622 ctx->cur_samplerate_divider = (CLOCK_RATE * 2 / samplerate) - 1;
623 } else {
624 ctx->flag_reg &= ~FLAG_DEMUX;
625 ctx->cur_samplerate_divider = (CLOCK_RATE / samplerate) - 1;
626 }
627
628 /* Calculate actual samplerate used and complain if it is different
629 * from the requested.
630 */
631 ctx->cur_samplerate = CLOCK_RATE / (ctx->cur_samplerate_divider + 1);
632 if (ctx->flag_reg & FLAG_DEMUX)
633 ctx->cur_samplerate *= 2;
634 if (ctx->cur_samplerate != samplerate)
635 sr_err("ols: can't match samplerate %" PRIu64 ", using %"
636 PRIu64, samplerate, ctx->cur_samplerate);
637
638 return SR_OK;
639}
640
641static int hw_dev_config_set(int dev_index, int hwcap, void *value)
642{
643 struct sr_dev_inst *sdi;
644 struct context *ctx;
645 int ret;
646 uint64_t *tmp_u64;
647
648 if (!(sdi = sr_dev_inst_get(dev_insts, dev_index)))
649 return SR_ERR;
650 ctx = sdi->priv;
651
652 if (sdi->status != SR_ST_ACTIVE)
653 return SR_ERR;
654
655 switch (hwcap) {
656 case SR_HWCAP_SAMPLERATE:
657 ret = set_samplerate(sdi, *(uint64_t *)value);
658 break;
659 case SR_HWCAP_PROBECONFIG:
660 ret = configure_probes(ctx, (GSList *)value);
661 break;
662 case SR_HWCAP_LIMIT_SAMPLES:
663 tmp_u64 = value;
664 if (*tmp_u64 < MIN_NUM_SAMPLES)
665 return SR_ERR;
666 if (*tmp_u64 > ctx->max_samples)
667 sr_err("ols: sample limit exceeds hw max");
668 ctx->limit_samples = *tmp_u64;
669 sr_info("ols: sample limit %" PRIu64, ctx->limit_samples);
670 ret = SR_OK;
671 break;
672 case SR_HWCAP_CAPTURE_RATIO:
673 ctx->capture_ratio = *(uint64_t *)value;
674 if (ctx->capture_ratio < 0 || ctx->capture_ratio > 100) {
675 ctx->capture_ratio = 0;
676 ret = SR_ERR;
677 } else
678 ret = SR_OK;
679 break;
680 case SR_HWCAP_RLE:
681 if (GPOINTER_TO_INT(value)) {
682 sr_info("ols: enabling RLE");
683 ctx->flag_reg |= FLAG_RLE;
684 }
685 ret = SR_OK;
686 break;
687 default:
688 ret = SR_ERR;
689 }
690
691 return ret;
692}
693
694static int receive_data(int fd, int revents, void *session_data)
695{
696 struct sr_datafeed_packet packet;
697 struct sr_datafeed_logic logic;
698 struct sr_dev_inst *sdi;
699 struct context *ctx;
700 GSList *l;
701 int num_channels, offset, i, j;
702 unsigned char byte;
703
704 /* Find this device's ctx struct by its fd. */
705 ctx = NULL;
706 for (l = dev_insts; l; l = l->next) {
707 sdi = l->data;
708 if (ctx->serial->fd == fd) {
709 ctx = sdi->priv;
710 break;
711 }
712 }
713 if (!ctx)
714 /* Shouldn't happen. */
715 return TRUE;
716
717 if (ctx->num_transfers++ == 0) {
718 /*
719 * First time round, means the device started sending data,
720 * and will not stop until done. If it stops sending for
721 * longer than it takes to send a byte, that means it's
722 * finished. We'll double that to 30ms to be sure...
723 */
724 sr_source_remove(fd);
725 sr_source_add(fd, G_IO_IN, 30, receive_data, session_data);
726 ctx->raw_sample_buf = g_try_malloc(ctx->limit_samples * 4);
727 if (!ctx->raw_sample_buf) {
728 sr_err("ols: %s: ctx->raw_sample_buf malloc failed",
729 __func__);
730 return FALSE;
731 }
732 /* fill with 1010... for debugging */
733 memset(ctx->raw_sample_buf, 0x82, ctx->limit_samples * 4);
734 }
735
736 num_channels = 0;
737 for (i = 0x20; i > 0x02; i /= 2) {
738 if ((ctx->flag_reg & i) == 0)
739 num_channels++;
740 }
741
742 if (revents == G_IO_IN) {
743 if (serial_read(fd, &byte, 1) != 1)
744 return FALSE;
745
746 /* Ignore it if we've read enough. */
747 if (ctx->num_samples >= ctx->limit_samples)
748 return TRUE;
749
750 ctx->sample[ctx->num_bytes++] = byte;
751 sr_dbg("ols: received byte 0x%.2x", byte);
752 if (ctx->num_bytes == num_channels) {
753 /* Got a full sample. */
754 sr_dbg("ols: received sample 0x%.*x",
755 ctx->num_bytes * 2, *(int *)ctx->sample);
756 if (ctx->flag_reg & FLAG_RLE) {
757 /*
758 * In RLE mode -1 should never come in as a
759 * sample, because bit 31 is the "count" flag.
760 */
761 if (ctx->sample[ctx->num_bytes - 1] & 0x80) {
762 ctx->sample[ctx->num_bytes - 1] &= 0x7f;
763 /*
764 * FIXME: This will only work on
765 * little-endian systems.
766 */
767 ctx->rle_count = *(int *)(ctx->sample);
768 sr_dbg("ols: RLE count = %d", ctx->rle_count);
769 ctx->num_bytes = 0;
770 return TRUE;
771 }
772 }
773 ctx->num_samples += ctx->rle_count + 1;
774 if (ctx->num_samples > ctx->limit_samples) {
775 /* Save us from overrunning the buffer. */
776 ctx->rle_count -= ctx->num_samples - ctx->limit_samples;
777 ctx->num_samples = ctx->limit_samples;
778 }
779
780 if (num_channels < 4) {
781 /*
782 * Some channel groups may have been turned
783 * off, to speed up transfer between the
784 * hardware and the PC. Expand that here before
785 * submitting it over the session bus --
786 * whatever is listening on the bus will be
787 * expecting a full 32-bit sample, based on
788 * the number of probes.
789 */
790 j = 0;
791 memset(ctx->tmp_sample, 0, 4);
792 for (i = 0; i < 4; i++) {
793 if (((ctx->flag_reg >> 2) & (1 << i)) == 0) {
794 /*
795 * This channel group was
796 * enabled, copy from received
797 * sample.
798 */
799 ctx->tmp_sample[i] = ctx->sample[j++];
800 }
801 }
802 memcpy(ctx->sample, ctx->tmp_sample, 4);
803 sr_dbg("ols: full sample 0x%.8x", *(int *)ctx->sample);
804 }
805
806 /* the OLS sends its sample buffer backwards.
807 * store it in reverse order here, so we can dump
808 * this on the session bus later.
809 */
810 offset = (ctx->limit_samples - ctx->num_samples) * 4;
811 for (i = 0; i <= ctx->rle_count; i++) {
812 memcpy(ctx->raw_sample_buf + offset + (i * 4),
813 ctx->sample, 4);
814 }
815 memset(ctx->sample, 0, 4);
816 ctx->num_bytes = 0;
817 ctx->rle_count = 0;
818 }
819 } else {
820 /*
821 * This is the main loop telling us a timeout was reached, or
822 * we've acquired all the samples we asked for -- we're done.
823 * Send the (properly-ordered) buffer to the frontend.
824 */
825 if (ctx->trigger_at != -1) {
826 /* a trigger was set up, so we need to tell the frontend
827 * about it.
828 */
829 if (ctx->trigger_at > 0) {
830 /* there are pre-trigger samples, send those first */
831 packet.type = SR_DF_LOGIC;
832 packet.payload = &logic;
833 logic.length = ctx->trigger_at * 4;
834 logic.unitsize = 4;
835 logic.data = ctx->raw_sample_buf +
836 (ctx->limit_samples - ctx->num_samples) * 4;
837 sr_session_bus(session_data, &packet);
838 }
839
840 /* send the trigger */
841 packet.type = SR_DF_TRIGGER;
842 sr_session_bus(session_data, &packet);
843
844 /* send post-trigger samples */
845 packet.type = SR_DF_LOGIC;
846 packet.payload = &logic;
847 logic.length = (ctx->num_samples * 4) - (ctx->trigger_at * 4);
848 logic.unitsize = 4;
849 logic.data = ctx->raw_sample_buf + ctx->trigger_at * 4 +
850 (ctx->limit_samples - ctx->num_samples) * 4;
851 sr_session_bus(session_data, &packet);
852 } else {
853 /* no trigger was used */
854 packet.type = SR_DF_LOGIC;
855 packet.payload = &logic;
856 logic.length = ctx->num_samples * 4;
857 logic.unitsize = 4;
858 logic.data = ctx->raw_sample_buf +
859 (ctx->limit_samples - ctx->num_samples) * 4;
860 sr_session_bus(session_data, &packet);
861 }
862 g_free(ctx->raw_sample_buf);
863
864 serial_flush(fd);
865 serial_close(fd);
866 packet.type = SR_DF_END;
867 sr_session_bus(session_data, &packet);
868 }
869
870 return TRUE;
871}
872
873static int hw_dev_acquisition_start(int dev_index, gpointer session_data)
874{
875 struct sr_datafeed_packet *packet;
876 struct sr_datafeed_header *header;
877 struct sr_dev_inst *sdi;
878 struct context *ctx;
879 uint32_t trigger_config[4];
880 uint32_t data;
881 uint16_t readcount, delaycount;
882 uint8_t changrp_mask;
883 int num_channels;
884 int i;
885
886 if (!(sdi = sr_dev_inst_get(dev_insts, dev_index)))
887 return SR_ERR;
888
889 ctx = sdi->priv;
890
891 if (sdi->status != SR_ST_ACTIVE)
892 return SR_ERR;
893
894 /*
895 * Enable/disable channel groups in the flag register according to the
896 * probe mask. Calculate this here, because num_channels is needed
897 * to limit readcount.
898 */
899 changrp_mask = 0;
900 num_channels = 0;
901 for (i = 0; i < 4; i++) {
902 if (ctx->probe_mask & (0xff << (i * 8))) {
903 changrp_mask |= (1 << i);
904 num_channels++;
905 }
906 }
907
908 /*
909 * Limit readcount to prevent reading past the end of the hardware
910 * buffer.
911 */
912 readcount = MIN(ctx->max_samples / num_channels, ctx->limit_samples) / 4;
913
914 memset(trigger_config, 0, 16);
915 trigger_config[ctx->num_stages - 1] |= 0x08;
916 if (ctx->trigger_mask[0]) {
917 delaycount = readcount * (1 - ctx->capture_ratio / 100.0);
918 ctx->trigger_at = (readcount - delaycount) * 4 - ctx->num_stages;
919
920 if (send_longcommand(ctx->serial->fd, CMD_SET_TRIGGER_MASK_0,
921 reverse32(ctx->trigger_mask[0])) != SR_OK)
922 return SR_ERR;
923 if (send_longcommand(ctx->serial->fd, CMD_SET_TRIGGER_VALUE_0,
924 reverse32(ctx->trigger_value[0])) != SR_OK)
925 return SR_ERR;
926 if (send_longcommand(ctx->serial->fd, CMD_SET_TRIGGER_CONFIG_0,
927 trigger_config[0]) != SR_OK)
928 return SR_ERR;
929
930 if (send_longcommand(ctx->serial->fd, CMD_SET_TRIGGER_MASK_1,
931 reverse32(ctx->trigger_mask[1])) != SR_OK)
932 return SR_ERR;
933 if (send_longcommand(ctx->serial->fd, CMD_SET_TRIGGER_VALUE_1,
934 reverse32(ctx->trigger_value[1])) != SR_OK)
935 return SR_ERR;
936 if (send_longcommand(ctx->serial->fd, CMD_SET_TRIGGER_CONFIG_1,
937 trigger_config[1]) != SR_OK)
938 return SR_ERR;
939
940 if (send_longcommand(ctx->serial->fd, CMD_SET_TRIGGER_MASK_2,
941 reverse32(ctx->trigger_mask[2])) != SR_OK)
942 return SR_ERR;
943 if (send_longcommand(ctx->serial->fd, CMD_SET_TRIGGER_VALUE_2,
944 reverse32(ctx->trigger_value[2])) != SR_OK)
945 return SR_ERR;
946 if (send_longcommand(ctx->serial->fd, CMD_SET_TRIGGER_CONFIG_2,
947 trigger_config[2]) != SR_OK)
948 return SR_ERR;
949
950 if (send_longcommand(ctx->serial->fd, CMD_SET_TRIGGER_MASK_3,
951 reverse32(ctx->trigger_mask[3])) != SR_OK)
952 return SR_ERR;
953 if (send_longcommand(ctx->serial->fd, CMD_SET_TRIGGER_VALUE_3,
954 reverse32(ctx->trigger_value[3])) != SR_OK)
955 return SR_ERR;
956 if (send_longcommand(ctx->serial->fd, CMD_SET_TRIGGER_CONFIG_3,
957 trigger_config[3]) != SR_OK)
958 return SR_ERR;
959 } else {
960 if (send_longcommand(ctx->serial->fd, CMD_SET_TRIGGER_MASK_0,
961 ctx->trigger_mask[0]) != SR_OK)
962 return SR_ERR;
963 if (send_longcommand(ctx->serial->fd, CMD_SET_TRIGGER_VALUE_0,
964 ctx->trigger_value[0]) != SR_OK)
965 return SR_ERR;
966 if (send_longcommand(ctx->serial->fd, CMD_SET_TRIGGER_CONFIG_0,
967 0x00000008) != SR_OK)
968 return SR_ERR;
969 delaycount = readcount;
970 }
971
972 sr_info("ols: setting samplerate to %" PRIu64 " Hz (divider %u, "
973 "demux %s)", ctx->cur_samplerate, ctx->cur_samplerate_divider,
974 ctx->flag_reg & FLAG_DEMUX ? "on" : "off");
975 if (send_longcommand(ctx->serial->fd, CMD_SET_DIVIDER,
976 reverse32(ctx->cur_samplerate_divider)) != SR_OK)
977 return SR_ERR;
978
979 /* Send sample limit and pre/post-trigger capture ratio. */
980 data = ((readcount - 1) & 0xffff) << 16;
981 data |= (delaycount - 1) & 0xffff;
982 if (send_longcommand(ctx->serial->fd, CMD_CAPTURE_SIZE, reverse16(data)) != SR_OK)
983 return SR_ERR;
984
985 /* The flag register wants them here, and 1 means "disable channel". */
986 ctx->flag_reg |= ~(changrp_mask << 2) & 0x3c;
987 ctx->flag_reg |= FLAG_FILTER;
988 ctx->rle_count = 0;
989 data = (ctx->flag_reg << 24) | ((ctx->flag_reg << 8) & 0xff0000);
990 if (send_longcommand(ctx->serial->fd, CMD_SET_FLAGS, data) != SR_OK)
991 return SR_ERR;
992
993 /* Start acquisition on the device. */
994 if (send_shortcommand(ctx->serial->fd, CMD_RUN) != SR_OK)
995 return SR_ERR;
996
997 sr_source_add(ctx->serial->fd, G_IO_IN, -1, receive_data,
998 session_data);
999
1000 if (!(packet = g_try_malloc(sizeof(struct sr_datafeed_packet)))) {
1001 sr_err("ols: %s: packet malloc failed", __func__);
1002 return SR_ERR_MALLOC;
1003 }
1004
1005 if (!(header = g_try_malloc(sizeof(struct sr_datafeed_header)))) {
1006 sr_err("ols: %s: header malloc failed", __func__);
1007 g_free(packet);
1008 return SR_ERR_MALLOC;
1009 }
1010
1011 /* Send header packet to the session bus. */
1012 packet->type = SR_DF_HEADER;
1013 packet->payload = (unsigned char *)header;
1014 header->feed_version = 1;
1015 gettimeofday(&header->starttime, NULL);
1016 header->samplerate = ctx->cur_samplerate;
1017 header->num_logic_probes = NUM_PROBES;
1018 sr_session_bus(session_data, packet);
1019
1020 g_free(header);
1021 g_free(packet);
1022
1023 return SR_OK;
1024}
1025
1026static int hw_dev_acquisition_stop(int dev_index, gpointer session_dev_id)
1027{
1028 struct sr_datafeed_packet packet;
1029
1030 /* Avoid compiler warnings. */
1031 (void)dev_index;
1032
1033 packet.type = SR_DF_END;
1034 sr_session_bus(session_dev_id, &packet);
1035
1036 return SR_OK;
1037}
1038
1039SR_PRIV struct sr_dev_plugin ols_plugin_info = {
1040 .name = "ols",
1041 .longname = "Openbench Logic Sniffer",
1042 .api_version = 1,
1043 .init = hw_init,
1044 .cleanup = hw_cleanup,
1045 .dev_open = hw_dev_open,
1046 .dev_close = hw_dev_close,
1047 .dev_info_get = hw_dev_info_get,
1048 .dev_status_get = hw_dev_status_get,
1049 .hwcap_get_all = hw_hwcap_get_all,
1050 .dev_config_set = hw_dev_config_set,
1051 .dev_acquisition_start = hw_dev_acquisition_start,
1052 .dev_acquisition_stop = hw_dev_acquisition_stop,
1053};