]> sigrok.org Git - libsigrok.git/blame - src/hardware/demo/protocol.c
drivers: Simplify some more trigger slope settings.
[libsigrok.git] / src / hardware / demo / protocol.c
CommitLineData
ba508e22
UH
1/*
2 * This file is part of the libsigrok project.
3 *
4 * Copyright (C) 2010 Uwe Hermann <uwe@hermann-uwe.de>
5 * Copyright (C) 2011 Olivier Fauchon <olivier@aixmarseille.com>
6 * Copyright (C) 2012 Alexandru Gagniuc <mr.nuke.me@gmail.com>
7 * Copyright (C) 2015 Bartosz Golaszewski <bgolaszewski@baylibre.com>
8 *
9 * This program is free software; you can redistribute it and/or modify
10 * it under the terms of the GNU General Public License as published by
11 * the Free Software Foundation; either version 2 of the License, or
12 * (at your option) any later version.
13 *
14 * This program is distributed in the hope that it will be useful,
15 * but WITHOUT ANY WARRANTY; without even the implied warranty of
16 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
17 * GNU General Public License for more details.
18 *
19 * You should have received a copy of the GNU General Public License
2ea1fdf1 20 * along with this program; if not, see <http://www.gnu.org/licenses/>.
ba508e22
UH
21 */
22
23#include <config.h>
24#include <stdlib.h>
25#include <string.h>
26#include <math.h>
27#include <libsigrok/libsigrok.h>
28#include "libsigrok-internal.h"
29#include "protocol.h"
30
31#define ANALOG_SAMPLES_PER_PERIOD 20
32
33static const uint8_t pattern_sigrok[] = {
34 0x4c, 0x92, 0x92, 0x92, 0x64, 0x00, 0x00, 0x00,
35 0x82, 0xfe, 0xfe, 0x82, 0x00, 0x00, 0x00, 0x00,
36 0x7c, 0x82, 0x82, 0x92, 0x74, 0x00, 0x00, 0x00,
37 0xfe, 0x12, 0x12, 0x32, 0xcc, 0x00, 0x00, 0x00,
38 0x7c, 0x82, 0x82, 0x82, 0x7c, 0x00, 0x00, 0x00,
39 0xfe, 0x10, 0x28, 0x44, 0x82, 0x00, 0x00, 0x00,
40 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
41 0xbe, 0xbe, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
42};
43
81d53a29
GS
44static const uint8_t pattern_squid[128][128 / 8] = {
45 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
46 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
47 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
48 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
49 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
50 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
51 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
52 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
53 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
54 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
55 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
56 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x78, 0xe0, 0x00, },
57 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xe1, 0x01, },
58 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xe1, 0x01, },
59 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xe3, 0x03, },
60 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xff, 0xe3, 0x03, },
61 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xff, 0xc3, 0x03, },
62 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xcf, 0xc7, 0x03, },
63 { 0x00, 0x00, 0x00, 0x04, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x8f, 0xc7, 0x03, },
64 { 0x00, 0x00, 0x00, 0x0c, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x8f, 0x87, 0x03, },
65 { 0x00, 0x00, 0x00, 0x18, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x8f, 0xc7, 0x03, },
66 { 0x00, 0x00, 0x00, 0x30, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x8f, 0xcf, 0x03, },
67 { 0x00, 0x00, 0x00, 0x30, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x0f, 0xcf, 0x03, },
68 { 0x00, 0x00, 0x00, 0x60, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x1f, 0xff, 0x03, },
69 { 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x1e, 0xff, 0x03, },
70 { 0x00, 0x00, 0x00, 0x80, 0x01, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x3e, 0xfe, 0x01, },
71 { 0x00, 0x00, 0x00, 0x80, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x3c, 0xfe, 0x01, },
72 { 0x00, 0x00, 0x00, 0x00, 0x07, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x18, 0xfc, 0x00, },
73 { 0x00, 0x00, 0x00, 0x00, 0x06, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
74 { 0x00, 0x00, 0x00, 0x00, 0x0c, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
75 { 0x00, 0x00, 0x00, 0x00, 0x18, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
76 { 0x00, 0xc0, 0x00, 0x00, 0x30, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
77 { 0x00, 0x80, 0x01, 0x00, 0xf0, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x38, 0xfe, 0xff, 0x03, },
78 { 0x00, 0x00, 0x07, 0x00, 0xe0, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x7c, 0xfe, 0xff, 0x03, },
79 { 0x00, 0x00, 0x1c, 0x00, 0xe0, 0x01, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x7c, 0xfe, 0xff, 0x03, },
80 { 0x00, 0x00, 0x78, 0x00, 0xc0, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x7c, 0xfe, 0xff, 0x03, },
81 { 0x00, 0x00, 0xe0, 0x00, 0x80, 0x07, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x38, 0xfe, 0xff, 0x03, },
82 { 0x00, 0x00, 0xc0, 0x03, 0x00, 0x07, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
83 { 0x00, 0x00, 0x00, 0x07, 0x00, 0x0e, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
84 { 0x00, 0x00, 0x00, 0x1c, 0x00, 0x1e, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
85 { 0x00, 0x00, 0x00, 0xf8, 0x00, 0x38, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0x03, 0x00, },
86 { 0x00, 0x00, 0x00, 0xf0, 0x01, 0x38, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x01, 0x00, 0xf0, 0x1f, 0x1c, },
87 { 0x00, 0x00, 0x00, 0xe0, 0x07, 0x70, 0x00, 0x00, 0x00, 0xfe, 0xff, 0x0f, 0x00, 0xfc, 0x3f, 0x3c, },
88 { 0x80, 0x03, 0x00, 0xc0, 0x0f, 0xe0, 0x00, 0x00, 0x80, 0xff, 0xff, 0x3f, 0x00, 0xfc, 0x7f, 0x7c, },
89 { 0x00, 0x1e, 0x00, 0x00, 0x1f, 0xc0, 0x01, 0x00, 0xc0, 0xff, 0xff, 0x7f, 0x00, 0xfe, 0xff, 0x7c, },
90 { 0x00, 0xf0, 0x01, 0x00, 0x7c, 0x80, 0x03, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x00, 0xfe, 0xff, 0x7c, },
91 { 0x00, 0xc0, 0x0f, 0x00, 0xf0, 0x00, 0x07, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x00, 0x3f, 0xf8, 0x78, },
92 { 0x00, 0x00, 0x3e, 0x00, 0xc0, 0x03, 0x0e, 0x00, 0xe0, 0xff, 0xff, 0xff, 0x00, 0x0f, 0xf0, 0xf0, },
93 { 0x00, 0x00, 0xf0, 0x07, 0x80, 0x07, 0x3c, 0x00, 0xe0, 0xff, 0xff, 0xff, 0x00, 0x0f, 0xf0, 0xf0, },
94 { 0x00, 0x00, 0x80, 0x3f, 0x00, 0x1e, 0x78, 0x00, 0xe0, 0xff, 0xff, 0xff, 0x00, 0x0f, 0xe0, 0xf0, },
95 { 0x00, 0x00, 0x00, 0xff, 0x00, 0x7c, 0xe0, 0x01, 0xe0, 0xff, 0xff, 0xff, 0x00, 0x0f, 0xe0, 0xf0, },
96 { 0x00, 0x00, 0x00, 0xfc, 0x03, 0xf0, 0xc1, 0x07, 0xf0, 0xff, 0xff, 0xff, 0x00, 0x0f, 0xf0, 0xf0, },
97 { 0x00, 0x00, 0x00, 0xe0, 0x1f, 0xc0, 0x03, 0x1f, 0xe0, 0xff, 0xff, 0xff, 0x00, 0x0f, 0xf0, 0x78, },
98 { 0x00, 0x00, 0x00, 0x00, 0xfe, 0x00, 0x1f, 0xfc, 0xe0, 0xff, 0xff, 0xff, 0x01, 0xff, 0xff, 0x7f, },
99 { 0xf8, 0x03, 0x00, 0x00, 0xf0, 0x07, 0xfe, 0xf0, 0xff, 0xff, 0xff, 0xff, 0x01, 0xff, 0xff, 0x7f, },
100 { 0x80, 0xff, 0x01, 0x00, 0x80, 0x3f, 0xf0, 0x8f, 0xff, 0xff, 0xff, 0xff, 0x00, 0xfe, 0xff, 0x3f, },
101 { 0x00, 0xf0, 0xff, 0x07, 0x00, 0xfc, 0x83, 0xff, 0xff, 0xff, 0xff, 0xff, 0x00, 0xfe, 0xff, 0x3f, },
102 { 0x00, 0x00, 0xfc, 0x7f, 0x00, 0xe0, 0x7f, 0xfc, 0xff, 0xff, 0xff, 0xff, 0x00, 0xfe, 0xff, 0x1f, },
103 { 0x00, 0x00, 0xc0, 0xff, 0x1f, 0x00, 0xfe, 0xff, 0xff, 0xff, 0xff, 0xff, 0x00, 0xfc, 0xff, 0x03, },
104 { 0x00, 0x00, 0x00, 0xf8, 0xff, 0x07, 0xc0, 0xff, 0xff, 0xff, 0xff, 0xff, 0x01, 0x00, 0x00, 0x00, },
105 { 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0x0f, 0xe0, 0xff, 0xff, 0xff, 0xff, 0x01, 0x00, 0x00, 0x00, },
106 { 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0x01, 0x00, 0x00, 0x00, },
107 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0xff, 0xff, 0xff, 0xff, 0x01, 0x00, 0x00, 0x00, },
108 { 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0x01, 0xff, 0xff, 0x03, },
109 { 0xfe, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0x01, 0xff, 0xff, 0x03, },
110 { 0x00, 0x10, 0xf8, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0x00, 0xff, 0xff, 0x03, },
111 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0xff, 0xff, 0xff, 0xff, 0x00, 0xff, 0xff, 0x03, },
112 { 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0x00, 0xff, 0xff, 0x03, },
113 { 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0x0f, 0xe0, 0xff, 0xff, 0xff, 0xff, 0x00, 0x7c, 0x00, 0x00, },
114 { 0x00, 0x00, 0x00, 0xf8, 0xff, 0x07, 0xc0, 0xff, 0xff, 0xff, 0xff, 0xff, 0x00, 0x3c, 0x00, 0x00, },
115 { 0x00, 0x00, 0xc0, 0xff, 0x1f, 0x00, 0xfe, 0xff, 0xff, 0xff, 0xff, 0xff, 0x00, 0x1e, 0x00, 0x00, },
116 { 0x00, 0x00, 0xf8, 0x7f, 0x00, 0xe0, 0x7f, 0xfc, 0xff, 0xff, 0xff, 0xff, 0x00, 0x1e, 0x00, 0x00, },
117 { 0x00, 0xf0, 0xff, 0x07, 0x00, 0xfc, 0x83, 0xff, 0xff, 0xff, 0xff, 0xff, 0x01, 0x0f, 0x00, 0x00, },
118 { 0x80, 0xff, 0x01, 0x00, 0x80, 0x3f, 0xf0, 0x8f, 0xff, 0xff, 0xff, 0xff, 0x01, 0x0f, 0x00, 0x00, },
119 { 0xf8, 0x03, 0x00, 0x00, 0xf0, 0x07, 0xfe, 0xf0, 0xff, 0xff, 0xff, 0xff, 0x00, 0x0f, 0x00, 0x00, },
120 { 0x00, 0x00, 0x00, 0x00, 0xfe, 0x00, 0x1f, 0xfc, 0xe0, 0xff, 0xff, 0xff, 0x00, 0x0f, 0x00, 0x00, },
121 { 0x00, 0x00, 0x00, 0xe0, 0x1f, 0xc0, 0x07, 0x1f, 0xf0, 0xff, 0xff, 0xff, 0x00, 0x06, 0x00, 0x00, },
122 { 0x00, 0x00, 0x00, 0xfc, 0x03, 0xf0, 0xc1, 0x07, 0xf0, 0xff, 0xff, 0xff, 0x01, 0x00, 0x00, 0x00, },
123 { 0x00, 0x00, 0x00, 0xff, 0x00, 0x7c, 0xe0, 0x01, 0xf0, 0xff, 0xff, 0xff, 0x01, 0xe0, 0x1f, 0x00, },
124 { 0x00, 0x00, 0x80, 0x3f, 0x00, 0x1e, 0x78, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x01, 0xf0, 0x7f, 0x00, },
125 { 0x00, 0x00, 0xf0, 0x0f, 0x80, 0x07, 0x3c, 0x00, 0xe0, 0xff, 0xff, 0xff, 0x01, 0xfc, 0xff, 0x00, },
126 { 0x00, 0x00, 0x3e, 0x00, 0xc0, 0x03, 0x0e, 0x00, 0xe0, 0xff, 0xff, 0xff, 0x01, 0xfc, 0xff, 0x01, },
127 { 0x00, 0xc0, 0x0f, 0x00, 0xf0, 0x00, 0x07, 0x00, 0xe0, 0xff, 0xff, 0xff, 0x01, 0xfe, 0xff, 0x01, },
128 { 0x00, 0xf0, 0x01, 0x00, 0x7c, 0x80, 0x03, 0x00, 0xe0, 0xff, 0xff, 0xff, 0x00, 0xff, 0xff, 0x03, },
129 { 0x00, 0x3e, 0x00, 0x00, 0x1f, 0xc0, 0x01, 0x00, 0x80, 0xff, 0xff, 0xff, 0x00, 0x1f, 0xe0, 0x03, },
130 { 0x80, 0x03, 0x00, 0xc0, 0x0f, 0xe0, 0x00, 0x00, 0x00, 0xff, 0xff, 0xff, 0x00, 0x0f, 0xc0, 0x03, },
131 { 0x00, 0x00, 0x00, 0xe0, 0x07, 0x70, 0x00, 0x00, 0x00, 0xfc, 0xff, 0xff, 0x00, 0x0f, 0xc0, 0x03, },
132 { 0x00, 0x00, 0x00, 0xf0, 0x01, 0x38, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x01, 0x00, 0x0f, 0x80, 0x03, },
133 { 0x00, 0x00, 0x00, 0xf8, 0x00, 0x38, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x0f, 0xc0, 0x03, },
134 { 0x00, 0x00, 0x00, 0x1c, 0x00, 0x1e, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x0f, 0xc0, 0x03, },
135 { 0x00, 0x00, 0x00, 0x07, 0x00, 0x0e, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x1f, 0xe0, 0x03, },
136 { 0x00, 0x00, 0xc0, 0x03, 0x00, 0x0f, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x7f, 0xf8, 0x03, },
137 { 0x00, 0x00, 0xe0, 0x00, 0x80, 0x07, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0x01, },
138 { 0x00, 0x00, 0x78, 0x00, 0xc0, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0x01, },
139 { 0x00, 0x00, 0x1c, 0x00, 0xe0, 0x01, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0x00, },
140 { 0x00, 0x00, 0x06, 0x00, 0xe0, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x7f, 0x00, },
141 { 0x00, 0x80, 0x03, 0x00, 0xf0, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0x1f, 0x00, },
142 { 0x00, 0xc0, 0x00, 0x00, 0x30, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
143 { 0x00, 0x00, 0x00, 0x00, 0x18, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
144 { 0x00, 0x00, 0x00, 0x00, 0x0c, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
145 { 0x00, 0x00, 0x00, 0x00, 0x06, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0x01, },
146 { 0x00, 0x00, 0x00, 0x00, 0x07, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0x03, },
147 { 0x00, 0x00, 0x00, 0x80, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0x03, },
148 { 0x00, 0x00, 0x00, 0x80, 0x01, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0x03, },
149 { 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0x03, },
150 { 0x00, 0x00, 0x00, 0x60, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0x03, },
151 { 0x00, 0x00, 0x00, 0x30, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0x07, 0x00, },
152 { 0x00, 0x00, 0x00, 0x30, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0x07, 0x00, },
153 { 0x00, 0x00, 0x00, 0x18, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x0f, 0x00, },
154 { 0x00, 0x00, 0x00, 0x0c, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0x1f, 0x00, },
155 { 0x00, 0x00, 0x00, 0x04, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0x3f, 0x00, },
156 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0x00, },
157 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0x01, },
158 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xff, 0xfc, 0x03, },
159 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x7f, 0xf8, 0x03, },
160 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x1f, 0xf0, 0x03, },
161 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x07, 0xc0, 0x03, },
162 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x03, },
163 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
164 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
165 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
166 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
167 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
168 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
169 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
170 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
171 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
172 { 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, },
173};
174
ba508e22
UH
175SR_PRIV void demo_generate_analog_pattern(struct analog_gen *ag, uint64_t sample_rate)
176{
177 double t, frequency;
178 float value;
179 unsigned int num_samples, i;
180 int last_end;
181
182 sr_dbg("Generating %s pattern.", analog_pattern_str[ag->pattern]);
183
184 num_samples = ANALOG_BUFSIZE / sizeof(float);
185
186 switch (ag->pattern) {
187 case PATTERN_SQUARE:
188 value = ag->amplitude;
189 last_end = 0;
190 for (i = 0; i < num_samples; i++) {
191 if (i % 5 == 0)
192 value = -value;
193 if (i % 10 == 0)
194 last_end = i;
195 ag->pattern_data[i] = value;
196 }
197 ag->num_samples = last_end;
198 break;
199 case PATTERN_SINE:
200 frequency = (double) sample_rate / ANALOG_SAMPLES_PER_PERIOD;
201
202 /* Make sure the number of samples we put out is an integer
203 * multiple of our period size */
204 /* FIXME we actually need only one period. A ringbuffer would be
205 * useful here. */
206 while (num_samples % ANALOG_SAMPLES_PER_PERIOD != 0)
207 num_samples--;
208
209 for (i = 0; i < num_samples; i++) {
210 t = (double) i / (double) sample_rate;
211 ag->pattern_data[i] = ag->amplitude *
212 sin(2 * G_PI * frequency * t);
213 }
214
215 ag->num_samples = num_samples;
216 break;
217 case PATTERN_TRIANGLE:
218 frequency = (double) sample_rate / ANALOG_SAMPLES_PER_PERIOD;
219
220 while (num_samples % ANALOG_SAMPLES_PER_PERIOD != 0)
221 num_samples--;
222
223 for (i = 0; i < num_samples; i++) {
224 t = (double) i / (double) sample_rate;
225 ag->pattern_data[i] = (2 * ag->amplitude / G_PI) *
226 asin(sin(2 * G_PI * frequency * t));
227 }
228
229 ag->num_samples = num_samples;
230 break;
231 case PATTERN_SAWTOOTH:
232 frequency = (double) sample_rate / ANALOG_SAMPLES_PER_PERIOD;
233
234 while (num_samples % ANALOG_SAMPLES_PER_PERIOD != 0)
235 num_samples--;
236
237 for (i = 0; i < num_samples; i++) {
238 t = (double) i / (double) sample_rate;
239 ag->pattern_data[i] = 2 * ag->amplitude *
240 ((t * frequency) - floor(0.5f + t * frequency));
241 }
242
243 ag->num_samples = num_samples;
244 break;
245 }
246}
247
248static void logic_generator(struct sr_dev_inst *sdi, uint64_t size)
249{
250 struct dev_context *devc;
251 uint64_t i, j;
252 uint8_t pat;
81d53a29
GS
253 uint8_t *sample;
254 const uint8_t *image_col;
255 size_t col_count, col_height;
ba508e22
UH
256
257 devc = sdi->priv;
258
259 switch (devc->logic_pattern) {
260 case PATTERN_SIGROK:
261 memset(devc->logic_data, 0x00, size);
262 for (i = 0; i < size; i += devc->logic_unitsize) {
263 for (j = 0; j < devc->logic_unitsize; j++) {
264 pat = pattern_sigrok[(devc->step + j) % sizeof(pattern_sigrok)] >> 1;
265 devc->logic_data[i + j] = ~pat;
266 }
267 devc->step++;
268 }
269 break;
270 case PATTERN_RANDOM:
271 for (i = 0; i < size; i++)
272 devc->logic_data[i] = (uint8_t)(rand() & 0xff);
273 break;
274 case PATTERN_INC:
275 for (i = 0; i < size; i++) {
276 for (j = 0; j < devc->logic_unitsize; j++) {
277 devc->logic_data[i + j] = devc->step;
278 }
279 devc->step++;
280 }
281 break;
845060fa
SA
282 case PATTERN_WALKING_ONE:
283 /* j contains the value of the highest bit */
284 j = 1 << (devc->num_logic_channels - 1);
285 for (i = 0; i < size; i++) {
286 devc->logic_data[i] = devc->step;
287 if (devc->step == 0)
288 devc->step = 1;
289 else
290 if (devc->step == j)
291 devc->step = 0;
292 else
293 devc->step <<= 1;
294 }
295 break;
296 case PATTERN_WALKING_ZERO:
297 /* Same as walking one, only with inverted output */
298 /* j contains the value of the highest bit */
299 j = 1 << (devc->num_logic_channels - 1);
300 for (i = 0; i < size; i++) {
301 devc->logic_data[i] = ~devc->step;
302 if (devc->step == 0)
303 devc->step = 1;
304 else
305 if (devc->step == j)
306 devc->step = 0;
307 else
308 devc->step <<= 1;
309 }
310 break;
ba508e22
UH
311 case PATTERN_ALL_LOW:
312 case PATTERN_ALL_HIGH:
313 /* These were set when the pattern mode was selected. */
314 break;
81d53a29
GS
315 case PATTERN_SQUID:
316 memset(devc->logic_data, 0x00, size);
317 col_count = ARRAY_SIZE(pattern_squid);
318 col_height = ARRAY_SIZE(pattern_squid[0]);
319 for (i = 0; i < size; i += devc->logic_unitsize) {
320 sample = &devc->logic_data[i];
321 image_col = pattern_squid[devc->step];
322 for (j = 0; j < devc->logic_unitsize; j++) {
323 pat = image_col[j % col_height];
324 sample[j] = pat;
325 }
326 devc->step++;
327 devc->step %= col_count;
328 }
329 break;
ba508e22
UH
330 default:
331 sr_err("Unknown pattern: %d.", devc->logic_pattern);
332 break;
333 }
334}
335
4a465510
GS
336/*
337 * Fixup a memory image of generated logic data before it gets sent to
338 * the session's datafeed. Mask out content from disabled channels.
339 *
340 * TODO: Need we apply a channel map, and enforce a dense representation
341 * of the enabled channels' data?
342 */
343static void logic_fixup_feed(struct dev_context *devc,
344 struct sr_datafeed_logic *logic)
345{
346 size_t fp_off;
347 uint8_t fp_mask;
348 size_t off, idx;
349 uint8_t *sample;
350
351 fp_off = devc->first_partial_logic_index;
352 fp_mask = devc->first_partial_logic_mask;
353 if (fp_off == logic->unitsize)
354 return;
355
356 for (off = 0; off < logic->length; off += logic->unitsize) {
357 sample = logic->data + off;
358 sample[fp_off] &= fp_mask;
359 for (idx = fp_off + 1; idx < logic->unitsize; idx++)
360 sample[idx] = 0x00;
361 }
362}
363
ba508e22
UH
364static void send_analog_packet(struct analog_gen *ag,
365 struct sr_dev_inst *sdi, uint64_t *analog_sent,
366 uint64_t analog_pos, uint64_t analog_todo)
367{
368 struct sr_datafeed_packet packet;
369 struct dev_context *devc;
370 uint64_t sending_now, to_avg;
371 int ag_pattern_pos;
372 unsigned int i;
373
01f2adb0
GS
374 if (!ag->ch || !ag->ch->enabled)
375 return;
376
ba508e22
UH
377 devc = sdi->priv;
378 packet.type = SR_DF_ANALOG;
379 packet.payload = &ag->packet;
380
381 if (!devc->avg) {
382 ag_pattern_pos = analog_pos % ag->num_samples;
d1144645 383 sending_now = MIN(analog_todo, ag->num_samples - ag_pattern_pos);
ba508e22
UH
384 ag->packet.data = ag->pattern_data + ag_pattern_pos;
385 ag->packet.num_samples = sending_now;
386 sr_session_send(sdi, &packet);
387
388 /* Whichever channel group gets there first. */
389 *analog_sent = MAX(*analog_sent, sending_now);
390 } else {
391 ag_pattern_pos = analog_pos % ag->num_samples;
d1144645 392 to_avg = MIN(analog_todo, ag->num_samples - ag_pattern_pos);
ba508e22
UH
393
394 for (i = 0; i < to_avg; i++) {
395 ag->avg_val = (ag->avg_val +
396 *(ag->pattern_data +
397 ag_pattern_pos + i)) / 2;
398 ag->num_avgs++;
399 /* Time to send averaged data? */
400 if (devc->avg_samples > 0 &&
401 ag->num_avgs >= devc->avg_samples)
402 goto do_send;
403 }
404
405 if (devc->avg_samples == 0) {
406 /* We're averaging all the samples, so wait with
407 * sending until the very end.
408 */
409 *analog_sent = ag->num_avgs;
410 return;
411 }
412
413do_send:
414 ag->packet.data = &ag->avg_val;
415 ag->packet.num_samples = 1;
416
417 sr_session_send(sdi, &packet);
418 *analog_sent = ag->num_avgs;
419
420 ag->num_avgs = 0;
421 ag->avg_val = 0.0f;
422 }
423}
424
425/* Callback handling data */
426SR_PRIV int demo_prepare_data(int fd, int revents, void *cb_data)
427{
428 struct sr_dev_inst *sdi;
429 struct dev_context *devc;
430 struct sr_datafeed_packet packet;
431 struct sr_datafeed_logic logic;
432 struct analog_gen *ag;
433 GHashTableIter iter;
434 void *value;
435 uint64_t samples_todo, logic_done, analog_done, analog_sent, sending_now;
436 int64_t elapsed_us, limit_us, todo_us;
437
438 (void)fd;
439 (void)revents;
440
441 sdi = cb_data;
442 devc = sdi->priv;
443
444 /* Just in case. */
445 if (devc->cur_samplerate <= 0
446 || (devc->num_logic_channels <= 0
447 && devc->num_analog_channels <= 0)) {
d2f7c417 448 sr_dev_acquisition_stop(sdi);
ba508e22
UH
449 return G_SOURCE_CONTINUE;
450 }
451
452 /* What time span should we send samples for? */
453 elapsed_us = g_get_monotonic_time() - devc->start_us;
454 limit_us = 1000 * devc->limit_msec;
455 if (limit_us > 0 && limit_us < elapsed_us)
456 todo_us = MAX(0, limit_us - devc->spent_us);
457 else
458 todo_us = MAX(0, elapsed_us - devc->spent_us);
459
460 /* How many samples are outstanding since the last round? */
461 samples_todo = (todo_us * devc->cur_samplerate + G_USEC_PER_SEC - 1)
462 / G_USEC_PER_SEC;
463 if (devc->limit_samples > 0) {
464 if (devc->limit_samples < devc->sent_samples)
465 samples_todo = 0;
466 else if (devc->limit_samples - devc->sent_samples < samples_todo)
467 samples_todo = devc->limit_samples - devc->sent_samples;
468 }
469 /* Calculate the actual time covered by this run back from the sample
470 * count, rounded towards zero. This avoids getting stuck on a too-low
471 * time delta with no samples being sent due to round-off.
472 */
473 todo_us = samples_todo * G_USEC_PER_SEC / devc->cur_samplerate;
474
475 logic_done = devc->num_logic_channels > 0 ? 0 : samples_todo;
1b7b72d4
GS
476 if (!devc->enabled_logic_channels)
477 logic_done = samples_todo;
ba508e22 478 analog_done = devc->num_analog_channels > 0 ? 0 : samples_todo;
1b7b72d4
GS
479 if (!devc->enabled_analog_channels)
480 analog_done = samples_todo;
ba508e22
UH
481
482 while (logic_done < samples_todo || analog_done < samples_todo) {
483 /* Logic */
484 if (logic_done < samples_todo) {
485 sending_now = MIN(samples_todo - logic_done,
486 LOGIC_BUFSIZE / devc->logic_unitsize);
487 logic_generator(sdi, sending_now * devc->logic_unitsize);
488 packet.type = SR_DF_LOGIC;
489 packet.payload = &logic;
490 logic.length = sending_now * devc->logic_unitsize;
491 logic.unitsize = devc->logic_unitsize;
492 logic.data = devc->logic_data;
4a465510 493 logic_fixup_feed(devc, &logic);
ba508e22
UH
494 sr_session_send(sdi, &packet);
495 logic_done += sending_now;
496 }
497
498 /* Analog, one channel at a time */
499 if (analog_done < samples_todo) {
500 analog_sent = 0;
501
502 g_hash_table_iter_init(&iter, devc->ch_ag);
503 while (g_hash_table_iter_next(&iter, NULL, &value)) {
504 send_analog_packet(value, sdi, &analog_sent,
505 devc->sent_samples + analog_done,
506 samples_todo - analog_done);
507 }
508 analog_done += analog_sent;
509 }
510 }
511 /* At this point, both logic_done and analog_done should be
512 * exactly equal to samples_todo, or else.
513 */
514 if (logic_done != samples_todo || analog_done != samples_todo) {
515 sr_err("BUG: Sample count mismatch.");
516 return G_SOURCE_REMOVE;
517 }
518 devc->sent_samples += samples_todo;
519 devc->spent_us += todo_us;
520
521 if ((devc->limit_samples > 0 && devc->sent_samples >= devc->limit_samples)
522 || (limit_us > 0 && devc->spent_us >= limit_us)) {
523
524 /* If we're averaging everything - now is the time to send data */
d1144645 525 if (devc->avg && devc->avg_samples == 0) {
ba508e22
UH
526 g_hash_table_iter_init(&iter, devc->ch_ag);
527 while (g_hash_table_iter_next(&iter, NULL, &value)) {
528 ag = value;
529 packet.type = SR_DF_ANALOG;
530 packet.payload = &ag->packet;
531 ag->packet.data = &ag->avg_val;
532 ag->packet.num_samples = 1;
533 sr_session_send(sdi, &packet);
534 }
535 }
536 sr_dbg("Requested number of samples reached.");
d2f7c417 537 sr_dev_acquisition_stop(sdi);
ba508e22
UH
538 }
539
540 return G_SOURCE_CONTINUE;
541}