]> sigrok.org Git - libsigrok.git/blobdiff - input/vcd.c
s/sr_config_make/sr_config_new/.
[libsigrok.git] / input / vcd.c
index b8b469791aaf617fe31955aec77dd8b7f11a73d1..814988f7ae2c4aa7388d297a63b4d104a11c1c3b 100644 (file)
  * downsample:  Divide the samplerate by the given factor.
  *              This can speed up analyzing of long captures.
  *
+ * compress:    Compress idle periods longer than this value.
+ *              This can speed up analyzing of long captures.
+ *              Default 0 = don't compress.
+ *
  * Based on Verilog standard IEEE Std 1364-2001 Version C
  *
  * Supported features:
@@ -48,6 +52,7 @@
  * - vector variables (bit vectors etc.)
  * - analog, integer and real number variables
  * - $dumpvars initial value declaration
+ * - $scope namespaces
  */
 
 /*  */
 static gboolean read_until(FILE *file, GString *dest, char mode)
 {
        char prev[4] = "";
+       long startpos = ftell(file);
        for(;;)
        {
                int c = fgetc(file);
 
                if (c == EOF)
                {
-                       if (mode != 'N')
-                               sr_err("Unexpected EOF.");
+                       if (mode == '$')
+                               sr_err("Unexpected EOF, read started at %ld.", startpos);
                        return FALSE;
                }
                
@@ -165,6 +171,7 @@ struct context
        int maxprobes;
        int probecount;
        int downsample;
+       unsigned compress;
        int64_t skip;
        struct probe probes[SR_MAX_NUM_PROBES];
 };
@@ -246,15 +253,15 @@ static gboolean parse_header(FILE *file, struct context *ctx)
                        
                        if (g_strv_length(parts) != 4)
                        {
-                               sr_err("$var section should have 4 items");
+                               sr_warn("$var section should have 4 items");
                        }
                        else if (g_strcmp0(parts[0], "reg") != 0 && g_strcmp0(parts[0], "wire") != 0)
                        {
-                               sr_warn("Unsupported signal type: '%s'", parts[0]);
+                               sr_info("Unsupported signal type: '%s'", parts[0]);
                        }
                        else if (strtol(parts[1], NULL, 10) != 1)
                        {
-                               sr_warn("Unsupported signal size: '%s'", parts[1]);
+                               sr_info("Unsupported signal size: '%s'", parts[1]);
                        }
                        else if (ctx->probecount >= ctx->maxprobes)
                        {
@@ -304,7 +311,7 @@ static int format_match(const char *filename)
        return status;
 }
 
-static int init(struct sr_input *in)
+static int init(struct sr_input *in, const char *filename)
 {
        struct sr_probe *probe;
        int num_probes, i;
@@ -312,6 +319,8 @@ static int init(struct sr_input *in)
        char *param;
        struct context *ctx;
 
+       (void)filename;
+
        if (!(ctx = g_try_malloc0(sizeof(*ctx)))) {
                sr_err("Input format context malloc failed.");
                return SR_ERR_MALLOC;
@@ -342,6 +351,11 @@ static int init(struct sr_input *in)
                        }
                }
                
+               param = g_hash_table_lookup(in->param, "compress");
+               if (param) {
+                       ctx->compress = strtoul(param, NULL, 10);
+               }
+               
                param = g_hash_table_lookup(in->param, "skip");
                if (param) {
                        ctx->skip = strtoul(param, NULL, 10) / ctx->downsample;
@@ -412,7 +426,6 @@ static void parse_contents(FILE *file, const struct sr_dev_inst *sdi, struct con
        GString *token = g_string_sized_new(32);
        
        uint64_t prev_timestamp = 0;
-       uint64_t new_values = 0;
        uint64_t prev_values = 0;
        
        /* Read one space-delimited token at a time. */
@@ -434,36 +447,53 @@ static void parse_contents(FILE *file, const struct sr_dev_inst *sdi, struct con
                        if (ctx->skip < 0)
                        {
                                ctx->skip = timestamp;
+                               prev_timestamp = timestamp;
                        }
                        else if (ctx->skip > 0 && timestamp < (uint64_t)ctx->skip)
                        {
-                               prev_timestamp = ctx->skip - 1;
+                               prev_timestamp = ctx->skip;
                        }
                        else if (timestamp == prev_timestamp)
                        {
-                               /* This only occurs when ctx->downsample > 1 */
-                               if (prev_values != new_values)
-                               {
-                                       sr_warn("VCD downsampling hides a glitch at %" PRIu64, timestamp);
-                                       prev_values = new_values;
-                               }
+                               /* Ignore repeated timestamps (e.g. sigrok outputs these) */
                        }
                        else
                        {
+                               if (ctx->compress != 0 && timestamp - prev_timestamp > ctx->compress)
+                               {
+                                       /* Compress long idle periods */
+                                       prev_timestamp = timestamp - ctx->compress;
+                               }
+                       
                                sr_dbg("New timestamp: %" PRIu64, timestamp);
                        
                                /* Generate samples from prev_timestamp up to timestamp - 1. */
-                               send_samples(sdi, new_values, timestamp - prev_timestamp);
-
+                               send_samples(sdi, prev_values, timestamp - prev_timestamp);
                                prev_timestamp = timestamp;
-                               prev_values = new_values;
                        }
                }
-               else if (token->str[0] == '$')
+               else if (token->str[0] == '$' && token->len > 1)
                {
                        /* This is probably a $dumpvars, $comment or similar.
-                        * For now, just skip it until $end. */
-                       read_until(file, NULL, '$');
+                        * $dump* contain useful data, but other tags will be skipped until $end. */
+                       if (g_strcmp0(token->str, "$dumpvars") == 0 ||
+                           g_strcmp0(token->str, "$dumpon") == 0 ||
+                           g_strcmp0(token->str, "$dumpoff") == 0 ||
+                           g_strcmp0(token->str, "$end") == 0)
+                       {
+                               /* Ignore, parse contents as normally. */
+                       }
+                       else
+                       {
+                               /* Skip until $end */
+                               read_until(file, NULL, '$');
+                       }
+               }
+               else if (strchr("bBrR", token->str[0]) != NULL)
+               {
+                       /* A vector value. Skip it and also the following identifier. */
+                       read_until(file, NULL, 'N');
+                       read_until(file, NULL, 'W');
                }
                else if (strchr("01xXzZ", token->str[0]) != NULL)
                {
@@ -489,9 +519,9 @@ static void parse_contents(FILE *file, const struct sr_dev_inst *sdi, struct con
                                
                                        /* Found our probe */
                                        if (bit)
-                                               new_values |= (1 << i);
+                                               prev_values |= (1 << i);
                                        else
-                                               new_values &= ~(1 << i);
+                                               prev_values &= ~(1 << i);
                                        
                                        break;
                                }
@@ -499,9 +529,13 @@ static void parse_contents(FILE *file, const struct sr_dev_inst *sdi, struct con
                        
                        if (i == ctx->probecount)
                        {
-                               sr_info("Did not find probe for identifier '%s'.", token->str);
+                               sr_dbg("Did not find probe for identifier '%s'.", token->str);
                        }
                }
+               else
+               {
+                       sr_warn("Skipping unknown token '%s'.", token->str);
+               }
                
                g_string_truncate(token, 0);
        }
@@ -511,11 +545,12 @@ static void parse_contents(FILE *file, const struct sr_dev_inst *sdi, struct con
 
 static int loadfile(struct sr_input *in, const char *filename)
 {
-       struct sr_datafeed_header header;
        struct sr_datafeed_packet packet;
-       struct sr_datafeed_meta_logic meta;
+       struct sr_datafeed_meta meta;
+       struct sr_config *src;
        FILE *file;
        struct context *ctx;
+       uint64_t samplerate;
 
        ctx = in->internal;
 
@@ -530,17 +565,14 @@ static int loadfile(struct sr_input *in, const char *filename)
        }
 
        /* Send header packet to the session bus. */
-       header.feed_version = 1;
-       gettimeofday(&header.starttime, NULL);
-       packet.type = SR_DF_HEADER;
-       packet.payload = &header;
-       sr_session_send(in->sdi, &packet);
+       std_session_send_df_header(in->sdi, DRIVER_LOG_DOMAIN);
 
        /* Send metadata about the SR_DF_LOGIC packets to come. */
-       packet.type = SR_DF_META_LOGIC;
+       packet.type = SR_DF_META;
        packet.payload = &meta;
-       meta.samplerate = ctx->samplerate / ctx->downsample;
-       meta.num_probes = ctx->probecount;
+       samplerate = ctx->samplerate / ctx->downsample;
+       src = sr_config_new(SR_CONF_SAMPLERATE, (const void *)&samplerate);
+       meta.config = g_slist_append(NULL, src);
        sr_session_send(in->sdi, &packet);
 
        /* Parse the contents of the VCD file */