]> sigrok.org Git - libsigrok.git/blobdiff - hardware/demo/demo.c
Code drop from DreamSourceLabs first source release.
[libsigrok.git] / hardware / demo / demo.c
index 98c95457ce49259b827e6607bc1f8b9d1c127502..67df85e4acd8a4e103efe0e47f208ddfbae1c4ab 100644 (file)
@@ -23,6 +23,7 @@
 #include <stdlib.h>
 #include <unistd.h>
 #include <string.h>
+#include <math.h>
 #ifdef _WIN32
 #include <io.h>
 #include <fcntl.h>
 #define sr_err(s, args...) sr_err(LOG_PREFIX s, ## args)
 
 /* TODO: Number of probes should be configurable. */
-#define NUM_PROBES             8
+#define NUM_PROBES             16
 
 #define DEMONAME               "Demo device"
 
 /* The size of chunks to send through the session bus. */
 /* TODO: Should be configurable. */
-#define BUFSIZE                4096
+#define BUFSIZE                1024*1024
 
-#define STR_PATTERN_SIGROK   "sigrok"
-#define STR_PATTERN_RANDOM   "random"
-#define STR_PATTERN_INC      "incremental"
-#define STR_PATTERN_ALL_LOW  "all-low"
-#define STR_PATTERN_ALL_HIGH "all-high"
+#define PERIOD                  4000
 
-/* Supported patterns which we can generate */
-enum {
-       /**
-        * Pattern which spells "sigrok" using '0's (with '1's as "background")
-        * when displayed using the 'bits' output format.
-        */
-       PATTERN_SIGROK,
-
-       /** Pattern which consists of (pseudo-)random values on all probes. */
-       PATTERN_RANDOM,
-
-       /**
-        * Pattern which consists of incrementing numbers.
-        * TODO: Better description.
-        */
-       PATTERN_INC,
+#define PI 3.14159265
 
-       /** Pattern where all probes have a low logic state. */
-       PATTERN_ALL_LOW,
+#define CONST_LEN               50
 
-       /** Pattern where all probes have a high logic state. */
-       PATTERN_ALL_HIGH,
+/* Supported patterns which we can generate */
+enum {
+    PATTERN_SINE = 0,
+    PATTERN_SQUARE = 1,
+    PATTERN_TRIANGLE = 2,
+    PATTERN_SAWTOOTH = 3,
+    PATTERN_RANDOM = 4,
+};
+static const char *pattern_strings[] = {
+    "Sine",
+    "Square",
+    "Triangle",
+    "Sawtooth",
+    "Random",
 };
 
 /* Private, per-device-instance driver context. */
@@ -91,6 +84,12 @@ struct dev_context {
        uint64_t samples_counter;
        void *cb_data;
        int64_t starttime;
+    int stop;
+
+    int trigger_stage;
+    uint16_t trigger_mask;
+    uint16_t trigger_value;
+    uint16_t trigger_edge;
 };
 
 static const int hwcaps[] = {
@@ -103,37 +102,38 @@ static const int hwcaps[] = {
        SR_CONF_CONTINUOUS,
 };
 
-static const uint64_t samplerates[] = {
-       SR_HZ(1),
-       SR_GHZ(1),
-       SR_HZ(1),
+static const int hwoptions[] = {
+    SR_CONF_PATTERN_MODE,
 };
 
-static const char *pattern_strings[] = {
-       "sigrok",
-       "random",
-       "incremental",
-       "all-low",
-       "all-high",
+static const uint64_t samplerates[] = {
+    SR_KHZ(10),
+    SR_KHZ(20),
+    SR_KHZ(50),
+    SR_KHZ(100),
+    SR_KHZ(200),
+    SR_KHZ(500),
+    SR_MHZ(1),
+    SR_MHZ(2),
+    SR_MHZ(5),
+    SR_MHZ(10),
+    SR_MHZ(20),
+    SR_MHZ(50),
+    SR_MHZ(100),
+    SR_MHZ(200),
 };
 
+
+
 /* We name the probes 0-7 on our demo driver. */
 static const char *probe_names[NUM_PROBES + 1] = {
-       "0", "1", "2", "3", "4", "5", "6", "7",
+    "Channel 0", "Channel 1", "Channel 2", "Channel 3",
+    "Channel 4", "Channel 5", "Channel 6", "Channel 7",
+    "Channel 8", "Channel 9", "Channel 10", "Channel 11",
+    "Channel 12", "Channel 13", "Channel 14", "Channel 15",
        NULL,
 };
 
-static uint8_t pattern_sigrok[] = {
-       0x4c, 0x92, 0x92, 0x92, 0x64, 0x00, 0x00, 0x00,
-       0x82, 0xfe, 0xfe, 0x82, 0x00, 0x00, 0x00, 0x00,
-       0x7c, 0x82, 0x82, 0x92, 0x74, 0x00, 0x00, 0x00,
-       0xfe, 0x12, 0x12, 0x32, 0xcc, 0x00, 0x00, 0x00,
-       0x7c, 0x82, 0x82, 0x82, 0x7c, 0x00, 0x00, 0x00,
-       0xfe, 0x10, 0x28, 0x44, 0x82, 0x00, 0x00, 0x00,
-       0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-       0xbe, 0xbe, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
-};
-
 /* Private, per-device-instance driver context. */
 /* TODO: struct context as with the other drivers. */
 
@@ -141,6 +141,8 @@ static uint8_t pattern_sigrok[] = {
 SR_PRIV struct sr_dev_driver demo_driver_info;
 static struct sr_dev_driver *di = &demo_driver_info;
 
+extern struct ds_trigger *trigger;
+
 static int hw_dev_acquisition_stop(struct sr_dev_inst *sdi, void *cb_data);
 
 static int clear_instances(void)
@@ -170,20 +172,13 @@ static GSList *hw_scan(GSList *options)
 
        devices = NULL;
 
-       sdi = sr_dev_inst_new(0, SR_ST_ACTIVE, DEMONAME, NULL, NULL);
+    sdi = sr_dev_inst_new(LOGIC, 0, SR_ST_ACTIVE, DEMONAME, NULL, NULL);
        if (!sdi) {
-               sr_err("Device instance creation failed.");
+        sr_err("Device instance creation failed.");
                return NULL;
        }
        sdi->driver = di;
 
-       for (i = 0; probe_names[i]; i++) {
-               if (!(probe = sr_probe_new(i, SR_PROBE_LOGIC, TRUE,
-                               probe_names[i])))
-                       return NULL;
-               sdi->probes = g_slist_append(sdi->probes, probe);
-       }
-
        devices = g_slist_append(devices, sdi);
        drvc->instances = g_slist_append(drvc->instances, sdi);
 
@@ -193,13 +188,29 @@ static GSList *hw_scan(GSList *options)
        }
 
        devc->sdi = sdi;
-       devc->cur_samplerate = SR_KHZ(200);
+    devc->cur_samplerate = SR_MHZ(200);
        devc->limit_samples = 0;
        devc->limit_msec = 0;
-       devc->sample_generator = PATTERN_SIGROK;
+    devc->sample_generator = PATTERN_SINE;
 
        sdi->priv = devc;
 
+    if (sdi->mode == LOGIC) {
+        for (i = 0; probe_names[i]; i++) {
+            if (!(probe = sr_probe_new(i, SR_PROBE_LOGIC, TRUE,
+                    probe_names[i])))
+                return NULL;
+            sdi->probes = g_slist_append(sdi->probes, probe);
+        }
+    } else if (sdi->mode == ANALOG) {
+        for (i = 0; i < DS_MAX_ANALOG_PROBES_NUM; i++) {
+            if (!(probe = sr_probe_new(i, SR_PROBE_ANALOG, TRUE,
+                    probe_names[i])))
+                return NULL;
+            sdi->probes = g_slist_append(sdi->probes, probe);
+        }
+    }
+
        return devices;
 }
 
@@ -266,24 +277,11 @@ static int config_get(int id, GVariant **data, const struct sr_dev_inst *sdi)
        case SR_CONF_LIMIT_MSEC:
                *data = g_variant_new_uint64(devc->limit_msec);
                break;
-       case SR_CONF_PATTERN_MODE:
-               switch (devc->sample_generator) {
-               case PATTERN_SIGROK:
-                       *data = g_variant_new_string(STR_PATTERN_SIGROK);
-                       break;
-               case PATTERN_RANDOM:
-                       *data = g_variant_new_string(STR_PATTERN_RANDOM);
-                       break;
-               case PATTERN_INC:
-                       *data = g_variant_new_string(STR_PATTERN_INC);
-                       break;
-               case PATTERN_ALL_LOW:
-                       *data = g_variant_new_string(STR_PATTERN_ALL_LOW);
-                       break;
-               case PATTERN_ALL_HIGH:
-                       *data = g_variant_new_string(STR_PATTERN_ALL_HIGH);
-                       break;
-               }
+    case SR_CONF_DEVICE_MODE:
+        *data = g_variant_new_string(mode_strings[sdi->mode]);
+        break;
+    case SR_CONF_PATTERN_MODE:
+        *data = g_variant_new_string(pattern_strings[devc->sample_generator]);
                break;
        default:
                return SR_ERR_NA;
@@ -292,10 +290,11 @@ static int config_get(int id, GVariant **data, const struct sr_dev_inst *sdi)
        return SR_OK;
 }
 
-static int config_set(int id, GVariant *data, const struct sr_dev_inst *sdi)
+static int config_set(int id, GVariant *data, struct sr_dev_inst *sdi)
 {
-       int ret;
+    int i, ret;
        const char *stropt;
+    struct sr_probe *probe;
 
        struct dev_context *const devc = sdi->priv;
 
@@ -318,27 +317,54 @@ static int config_set(int id, GVariant *data, const struct sr_dev_inst *sdi)
                devc->limit_samples = 0;
                sr_dbg("%s: setting limit_msec to %" PRIu64, __func__,
                       devc->limit_msec);
-               ret = SR_OK;
-       } else if (id == SR_CONF_PATTERN_MODE) {
+        ret = SR_OK;
+    } else if (id == SR_CONF_DEVICE_MODE) {
+        stropt = g_variant_get_string(data, NULL);
+        ret = SR_OK;
+        if (!strcmp(stropt, mode_strings[LOGIC])) {
+            sdi->mode = LOGIC;
+            sr_dev_probes_free(sdi);
+            for (i = 0; probe_names[i]; i++) {
+                if (!(probe = sr_probe_new(i, SR_PROBE_LOGIC, TRUE,
+                        probe_names[i])))
+                    ret = SR_ERR;
+                else
+                    sdi->probes = g_slist_append(sdi->probes, probe);
+            }
+        } else if (!strcmp(stropt, mode_strings[ANALOG])) {
+            sdi->mode = ANALOG;
+            sr_dev_probes_free(sdi);
+            for (i = 0; i < DS_MAX_ANALOG_PROBES_NUM; i++) {
+                if (!(probe = sr_probe_new(i, SR_PROBE_ANALOG, TRUE,
+                        probe_names[i])))
+                    ret = SR_ERR;
+                else
+                    sdi->probes = g_slist_append(sdi->probes, probe);
+            }
+        } else {
+            ret = SR_ERR;
+        }
+        sr_dbg("%s: setting mode to %d", __func__, sdi->mode);
+    }else if (id == SR_CONF_PATTERN_MODE) {
                stropt = g_variant_get_string(data, NULL);
-               ret = SR_OK;
-               if (!strcmp(stropt, STR_PATTERN_SIGROK)) {
-                       devc->sample_generator = PATTERN_SIGROK;
-               } else if (!strcmp(stropt, STR_PATTERN_RANDOM)) {
-                       devc->sample_generator = PATTERN_RANDOM;
-               } else if (!strcmp(stropt, STR_PATTERN_INC)) {
-                       devc->sample_generator = PATTERN_INC;
-               } else if (!strcmp(stropt, STR_PATTERN_ALL_LOW)) {
-                       devc->sample_generator = PATTERN_ALL_LOW;
-               } else if (!strcmp(stropt, STR_PATTERN_ALL_HIGH)) {
-                       devc->sample_generator = PATTERN_ALL_HIGH;
+        ret = SR_OK;
+        if (!strcmp(stropt, pattern_strings[PATTERN_SINE])) {
+            devc->sample_generator = PATTERN_SINE;
+        } else if (!strcmp(stropt, pattern_strings[PATTERN_SQUARE])) {
+            devc->sample_generator = PATTERN_SQUARE;
+        } else if (!strcmp(stropt, pattern_strings[PATTERN_TRIANGLE])) {
+            devc->sample_generator = PATTERN_TRIANGLE;
+        } else if (!strcmp(stropt, pattern_strings[PATTERN_SAWTOOTH])) {
+            devc->sample_generator = PATTERN_SAWTOOTH;
+        } else if (!strcmp(stropt, pattern_strings[PATTERN_RANDOM])) {
+            devc->sample_generator = PATTERN_RANDOM;
                } else {
-                       ret = SR_ERR;
+            ret = SR_ERR;
                }
-               sr_dbg("%s: setting pattern to %d",
+        sr_dbg("%s: setting pattern to %d",
                        __func__, devc->sample_generator);
        } else {
-               ret = SR_ERR_NA;
+        ret = SR_ERR_NA;
        }
 
        return ret;
@@ -352,108 +378,213 @@ static int config_list(int key, GVariant **data, const struct sr_dev_inst *sdi)
        (void)sdi;
 
        switch (key) {
-       case SR_CONF_DEVICE_OPTIONS:
-               *data = g_variant_new_fixed_array(G_VARIANT_TYPE_INT32,
-                               hwcaps, ARRAY_SIZE(hwcaps), sizeof(int32_t));
+    case SR_CONF_DEVICE_OPTIONS:
+//             *data = g_variant_new_fixed_array(G_VARIANT_TYPE_INT32,
+//                             hwcaps, ARRAY_SIZE(hwcaps), sizeof(int32_t));
+               *data = g_variant_new_from_data(G_VARIANT_TYPE("ai"),
+                hwcaps, ARRAY_SIZE(hwcaps)*sizeof(int32_t), TRUE, NULL, NULL);
                break;
-       case SR_CONF_SAMPLERATE:
+    case SR_CONF_DEVICE_CONFIGS:
+//             *data = g_variant_new_fixed_array(G_VARIANT_TYPE_INT32,
+//                             hwcaps, ARRAY_SIZE(hwcaps), sizeof(int32_t));
+        *data = g_variant_new_from_data(G_VARIANT_TYPE("ai"),
+                hwoptions, ARRAY_SIZE(hwoptions)*sizeof(int32_t), TRUE, NULL, NULL);
+        break;
+    case SR_CONF_SAMPLERATE:
                g_variant_builder_init(&gvb, G_VARIANT_TYPE("a{sv}"));
-               gvar = g_variant_new_fixed_array(G_VARIANT_TYPE("t"), samplerates,
-                               ARRAY_SIZE(samplerates), sizeof(uint64_t));
-               g_variant_builder_add(&gvb, "{sv}", "samplerate-steps", gvar);
+//             gvar = g_variant_new_fixed_array(G_VARIANT_TYPE("t"), samplerates,
+//                             ARRAY_SIZE(samplerates), sizeof(uint64_t));
+               gvar = g_variant_new_from_data(G_VARIANT_TYPE("at"),
+                               samplerates, ARRAY_SIZE(samplerates)*sizeof(uint64_t), TRUE, NULL, NULL);
+        g_variant_builder_add(&gvb, "{sv}", "samplerates", gvar);
                *data = g_variant_builder_end(&gvb);
                break;
-       case SR_CONF_PATTERN_MODE:
+    case SR_CONF_DEVICE_MODE:
+        *data = g_variant_new_strv(mode_strings, ARRAY_SIZE(mode_strings));
+        break;
+    case SR_CONF_PATTERN_MODE:
                *data = g_variant_new_strv(pattern_strings, ARRAY_SIZE(pattern_strings));
                break;
        default:
-               return SR_ERR_NA;
+        return SR_ERR_NA;
        }
 
-       return SR_OK;
+    return SR_OK;
 }
 
-static void samples_generator(uint8_t *buf, uint64_t size,
+static void samples_generator(uint16_t *buf, uint64_t size,
                              struct dev_context *devc)
 {
-       static uint64_t p = 0;
+    static uint16_t p = 0;
        uint64_t i;
-
-       /* TODO: Needed? */
-       memset(buf, 0, size);
+    uint16_t demo_data;
 
        switch (devc->sample_generator) {
-       case PATTERN_SIGROK: /* sigrok pattern */
-               for (i = 0; i < size; i++) {
-                       *(buf + i) = ~(pattern_sigrok[
-                               p++ % sizeof(pattern_sigrok)] >> 1);
-               }
+    case PATTERN_SINE: /* Sine */
+        for (i = 0; i < size; i++) {
+            if (i%CONST_LEN == 0) {
+                demo_data = 0x8000 * sin(2 * PI * p / 0xffff) + 0x8000;
+                p += CONST_LEN;
+            }
+            *(buf + i) = demo_data;
+        }
                break;
+    case PATTERN_SQUARE:
+        for (i = 0; i < size; i++) {
+            if (i%CONST_LEN == 0) {
+                demo_data = p > 0x7fff ? 0xf000 : 0x1000;
+                p += CONST_LEN;
+            }
+            *(buf + i) = demo_data;
+        }
+        break;
+    case PATTERN_TRIANGLE:
+        for (i = 0; i < size; i++) {
+            if (i%CONST_LEN == 0) {
+                demo_data = p > 0x7fff ? 0xffff * (2.0f * (0x10000 - p) / 0x10000) :
+                                         0xffff * (2.0f * p / 0x10000);
+                p += CONST_LEN;
+            }
+            *(buf + i) = demo_data;
+        }
+        break;
+    case PATTERN_SAWTOOTH:
+        for (i = 0; i < size; i++) {
+            if (i%CONST_LEN == 0) {
+                demo_data = p;
+                p += CONST_LEN;
+            }
+            *(buf + i) = demo_data;
+        }
+        break;
        case PATTERN_RANDOM: /* Random */
-               for (i = 0; i < size; i++)
-                       *(buf + i) = (uint8_t)(rand() & 0xff);
-               break;
-       case PATTERN_INC: /* Simple increment */
-               for (i = 0; i < size; i++)
-                       *(buf + i) = p++;
-               break;
-       case PATTERN_ALL_LOW: /* All probes are low */
-               memset(buf, 0x00, size);
-               break;
-       case PATTERN_ALL_HIGH: /* All probes are high */
-               memset(buf, 0xff, size);
+        for (i = 0; i < size; i++) {
+            if (i%CONST_LEN == 0)
+                demo_data = (uint16_t)(rand() * (0x10000 * 1.0f / RAND_MAX));
+            *(buf + i) = demo_data;
+        }
                break;
        default:
-               sr_err("Unknown pattern: %d.", devc->sample_generator);
+        sr_err("Unknown pattern: %d.", devc->sample_generator);
                break;
        }
 }
 
 /* Callback handling data */
-static int receive_data(int fd, int revents, void *cb_data)
+static int receive_data(int fd, int revents, const struct sr_dev_inst *sdi)
 {
-       struct dev_context *devc = cb_data;
-       struct sr_datafeed_packet packet;
-       struct sr_datafeed_logic logic;
-       uint8_t buf[BUFSIZE];
+    struct dev_context *devc = sdi->priv;
+    struct sr_datafeed_packet packet;
+    struct sr_datafeed_logic logic;
+    struct sr_datafeed_analog analog;
+    //uint16_t buf[BUFSIZE];
+    uint16_t *buf;
        static uint64_t samples_to_send, expected_samplenum, sending_now;
        int64_t time, elapsed;
+    static uint16_t last_sample = 0;
+    uint16_t cur_sample;
+    int i;
 
        (void)fd;
        (void)revents;
 
+    if (!(buf = g_try_malloc(BUFSIZE*sizeof(uint16_t)))) {
+        sr_err("buf for receive_data malloc failed.");
+        return FALSE;
+    }
+
        /* How many "virtual" samples should we have collected by now? */
        time = g_get_monotonic_time();
        elapsed = time - devc->starttime;
+    devc->starttime = time;
        expected_samplenum = elapsed * devc->cur_samplerate / 1000000;
        /* Of those, how many do we still have to send? */
-       samples_to_send = expected_samplenum - devc->samples_counter;
-
-       if (devc->limit_samples) {
-               samples_to_send = MIN(samples_to_send,
-                                devc->limit_samples - devc->samples_counter);
-       }
-
-       while (samples_to_send > 0) {
-               sending_now = MIN(samples_to_send, sizeof(buf));
-               samples_to_send -= sending_now;
+    //samples_to_send = (expected_samplenum - devc->samples_counter) / CONST_LEN * CONST_LEN;
+    samples_to_send = expected_samplenum / CONST_LEN * CONST_LEN;
+
+    if (devc->limit_samples) {
+        if (sdi->mode == LOGIC)
+            samples_to_send = MIN(samples_to_send,
+                     devc->limit_samples - devc->samples_counter);
+        else if (sdi->mode == ANALOG)
+            samples_to_send = MIN(samples_to_send,
+                     devc->limit_samples);
+    }
+
+    while (samples_to_send > 0) {
+        sending_now = MIN(samples_to_send, BUFSIZE);
                samples_generator(buf, sending_now, devc);
 
-               packet.type = SR_DF_LOGIC;
-               packet.payload = &logic;
-               logic.length = sending_now;
-               logic.unitsize = 1;
-               logic.data = buf;
-               sr_session_send(devc->cb_data, &packet);
-               devc->samples_counter += sending_now;
+        if (devc->trigger_stage != 0) {
+            for (i = 0; i < sending_now; i++) {
+                if (devc->trigger_edge == 0) {
+                    if ((*(buf + i) | devc->trigger_mask) ==
+                            (devc->trigger_value | devc->trigger_mask)) {
+                        devc->trigger_stage = 0;
+                        break;
+                    }
+                } else {
+                    cur_sample = *(buf + i);
+                    if (((last_sample & devc->trigger_edge) ==
+                         (~devc->trigger_value & devc->trigger_edge)) &&
+                        ((cur_sample | devc->trigger_mask) ==
+                         (devc->trigger_value | devc->trigger_mask)) &&
+                        ((cur_sample & devc->trigger_edge) ==
+                         (devc->trigger_value & devc->trigger_edge))) {
+                        devc->trigger_stage = 0;
+                        break;
+                    }
+                    last_sample = cur_sample;
+                }
+            }
+            if (devc->trigger_stage == 0) {
+                struct ds_trigger_pos demo_trigger_pos;
+                demo_trigger_pos.real_pos = i;
+                packet.type = SR_DF_TRIGGER;
+                packet.payload = &demo_trigger_pos;
+                sr_session_send(sdi, &packet);
+            }
+        }
+
+        if (devc->trigger_stage == 0){
+            samples_to_send -= sending_now;
+            if (sdi->mode == LOGIC) {
+                packet.type = SR_DF_LOGIC;
+                packet.payload = &logic;
+                logic.length = sending_now * (NUM_PROBES >> 3);
+                logic.unitsize = (NUM_PROBES >> 3);
+                logic.data = buf;
+            } else if (sdi->mode == ANALOG) {
+                packet.type = SR_DF_ANALOG;
+                packet.payload = &analog;
+                analog.probes = sdi->probes;
+                analog.num_samples = sending_now;
+                analog.mq = SR_MQ_VOLTAGE;
+                analog.unit = SR_UNIT_VOLT;
+                analog.mqflags = SR_MQFLAG_AC;
+                analog.data = buf;
+            }
+
+            sr_session_send(sdi, &packet);
+            if (sdi->mode == LOGIC)
+                devc->samples_counter += sending_now;
+            else if (sdi->mode == ANALOG)
+                devc->samples_counter = (devc->samples_counter + sending_now) % devc->limit_samples;
+        } else {
+            break;
+        }
        }
 
-       if (devc->limit_samples &&
-               devc->samples_counter >= devc->limit_samples) {
-               sr_info("Requested number of samples reached.");
-               hw_dev_acquisition_stop(devc->sdi, cb_data);
-               return TRUE;
-       }
+    if (sdi->mode == LOGIC &&
+        devc->limit_samples &&
+        devc->samples_counter >= devc->limit_samples) {
+        sr_info("Requested number of samples reached.");
+        hw_dev_acquisition_stop(sdi, NULL);
+        g_free(buf);
+        return TRUE;
+    }
+
+    g_free(buf);
 
        return TRUE;
 }
@@ -463,11 +594,29 @@ static int hw_dev_acquisition_start(const struct sr_dev_inst *sdi,
 {
        struct dev_context *const devc = sdi->priv;
 
-       if (sdi->status != SR_ST_ACTIVE)
-               return SR_ERR_DEV_CLOSED;
+    (void)cb_data;
+
+    if (sdi->status != SR_ST_ACTIVE)
+        return SR_ERR_DEV_CLOSED;
 
-       devc->cb_data = cb_data;
+    //devc->cb_data = cb_data;
        devc->samples_counter = 0;
+    devc->stop = FALSE;
+
+    /*
+     * trigger setting
+     */
+    if (!trigger->trigger_en || sdi->mode == ANALOG) {
+        devc->trigger_stage = 0;
+    } else {
+        devc->trigger_mask = ds_trigger_get_mask0(TriggerStages);
+        devc->trigger_value = ds_trigger_get_value0(TriggerStages);
+        devc->trigger_edge = ds_trigger_get_edge0(TriggerStages);
+        if (devc->trigger_edge != 0)
+            devc->trigger_stage = 2;
+        else
+            devc->trigger_stage = 1;
+    }
 
        /*
         * Setting two channels connected by a pipe is a remnant from when the
@@ -492,11 +641,12 @@ static int hw_dev_acquisition_start(const struct sr_dev_inst *sdi,
        /* Make channels to unbuffered. */
        g_io_channel_set_buffered(devc->channel, FALSE);
 
-       sr_session_source_add_channel(devc->channel, G_IO_IN | G_IO_ERR,
-                   40, receive_data, devc);
+    sr_session_source_add_channel(devc->channel, G_IO_IN | G_IO_ERR,
+            100, receive_data, sdi);
 
        /* Send header packet to the session bus. */
-       std_session_send_df_header(cb_data, LOG_PREFIX);
+    //std_session_send_df_header(cb_data, LOG_PREFIX);
+    std_session_send_df_header(sdi, LOG_PREFIX);
 
        /* We use this timestamp to decide how many more samples to send. */
        devc->starttime = g_get_monotonic_time();
@@ -513,18 +663,27 @@ static int hw_dev_acquisition_stop(struct sr_dev_inst *sdi, void *cb_data)
 
        sr_dbg("Stopping aquisition.");
 
-       sr_session_source_remove_channel(devc->channel);
+    devc->stop = TRUE;
+    sr_session_source_remove_channel(devc->channel);
        g_io_channel_shutdown(devc->channel, FALSE, NULL);
        g_io_channel_unref(devc->channel);
        devc->channel = NULL;
 
        /* Send last packet. */
-       packet.type = SR_DF_END;
-       sr_session_send(devc->cb_data, &packet);
+    packet.type = SR_DF_END;
+    sr_session_send(sdi, &packet);
 
        return SR_OK;
 }
 
+static int hw_dev_test(struct sr_dev_inst *sdi)
+{
+    if (sdi)
+        return SR_OK;
+    else
+        return SR_ERR;
+}
+
 SR_PRIV struct sr_dev_driver demo_driver_info = {
        .name = "demo",
        .longname = "Demo driver and pattern generator",
@@ -539,6 +698,7 @@ SR_PRIV struct sr_dev_driver demo_driver_info = {
        .config_list = config_list,
        .dev_open = hw_dev_open,
        .dev_close = hw_dev_close,
+    .dev_test = hw_dev_test,
        .dev_acquisition_start = hw_dev_acquisition_start,
        .dev_acquisition_stop = hw_dev_acquisition_stop,
        .priv = NULL,