]> sigrok.org Git - libsigrok.git/blame_incremental - src/hardware/saleae-logic-pro/protocol.h
drivers: Make per-driver sr_dev_driver structs static.
[libsigrok.git] / src / hardware / saleae-logic-pro / protocol.h
... / ...
CommitLineData
1/*
2 * This file is part of the libsigrok project.
3 *
4 * Copyright (C) 2017 Jan Luebbe <jluebbe@lasnet.de>
5 *
6 * This program is free software: you can redistribute it and/or modify
7 * it under the terms of the GNU General Public License as published by
8 * the Free Software Foundation, either version 3 of the License, or
9 * (at your option) any later version.
10 *
11 * This program is distributed in the hope that it will be useful,
12 * but WITHOUT ANY WARRANTY; without even the implied warranty of
13 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
14 * GNU General Public License for more details.
15 *
16 * You should have received a copy of the GNU General Public License
17 * along with this program. If not, see <http://www.gnu.org/licenses/>.
18 */
19
20#ifndef LIBSIGROK_HARDWARE_SALEAE_LOGIC_PRO_PROTOCOL_H
21#define LIBSIGROK_HARDWARE_SALEAE_LOGIC_PRO_PROTOCOL_H
22
23#include <stdint.h>
24#include <glib.h>
25#include <libsigrok/libsigrok.h>
26#include "libsigrok-internal.h"
27
28#define LOG_PREFIX "saleae-logic-pro"
29
30/* 16 channels * 32 samples */
31#define CONV_BATCH_SIZE (2 * 32)
32
33/*
34 * One packet + one partial conversion: Worst case is only one active
35 * channel converted to 2 bytes per sample, with 8 * 16384 samples per packet.
36 */
37#define CONV_BUFFER_SIZE (2 * 8 * 16384 + CONV_BATCH_SIZE)
38
39struct dev_context {
40 unsigned int dig_channel_cnt;
41 uint16_t dig_channel_mask;
42 uint16_t dig_channel_masks[16];
43 uint64_t dig_samplerate;
44
45 uint32_t lfsr;
46
47 unsigned int num_transfers;
48 unsigned int submitted_transfers;
49 struct libusb_transfer **transfers;
50
51 uint8_t *conv_buffer;
52 unsigned int conv_size;
53 unsigned int batch_index;
54};
55
56SR_PRIV int saleae_logic_pro_init(const struct sr_dev_inst *sdi);
57SR_PRIV int saleae_logic_pro_prepare(const struct sr_dev_inst *sdi);
58SR_PRIV int saleae_logic_pro_start(const struct sr_dev_inst *sdi);
59SR_PRIV int saleae_logic_pro_stop(const struct sr_dev_inst *sdi);
60SR_PRIV void LIBUSB_CALL saleae_logic_pro_receive_data(struct libusb_transfer *transfer);
61
62#endif