]> sigrok.org Git - libsigrok.git/blame_incremental - src/hardware/fx2lafw/api.c
scpi-pps: Add HP 6631B, HP 66332A, HP 6633B and HP 6634B power supplies
[libsigrok.git] / src / hardware / fx2lafw / api.c
... / ...
CommitLineData
1/*
2 * This file is part of the libsigrok project.
3 *
4 * Copyright (C) 2013 Bert Vermeulen <bert@biot.com>
5 * Copyright (C) 2012 Joel Holdsworth <joel@airwebreathe.org.uk>
6 *
7 * This program is free software: you can redistribute it and/or modify
8 * it under the terms of the GNU General Public License as published by
9 * the Free Software Foundation, either version 3 of the License, or
10 * (at your option) any later version.
11 *
12 * This program is distributed in the hope that it will be useful,
13 * but WITHOUT ANY WARRANTY; without even the implied warranty of
14 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
15 * GNU General Public License for more details.
16 *
17 * You should have received a copy of the GNU General Public License
18 * along with this program. If not, see <http://www.gnu.org/licenses/>.
19 */
20
21#include <config.h>
22#include "protocol.h"
23#include <math.h>
24
25static const struct fx2lafw_profile supported_fx2[] = {
26 /*
27 * CWAV USBee AX
28 * ARMFLY AX-Pro (clone of the CWAV USBee AX)
29 * ARMFLY Mini-Logic (clone of the CWAV USBee AX)
30 * EE Electronics ESLA201A (clone of the CWAV USBee AX)
31 * HT USBee-AxPro (clone of the CWAV USBee AX)
32 * MCU123 USBee AX Pro clone (clone of the CWAV USBee AX)
33 * Noname LHT00SU1 (clone of the CWAV USBee AX)
34 * XZL_Studio AX (clone of the CWAV USBee AX)
35 */
36 { 0x08a9, 0x0014, "CWAV", "USBee AX", NULL,
37 "fx2lafw-cwav-usbeeax.fw",
38 DEV_CAPS_AX_ANALOG, NULL, NULL},
39
40 /*
41 * CWAV USBee DX
42 * HT USBee-DxPro (clone of the CWAV USBee DX), not yet supported!
43 * XZL-Studio DX (clone of the CWAV USBee DX)
44 */
45 { 0x08a9, 0x0015, "CWAV", "USBee DX", NULL,
46 "fx2lafw-cwav-usbeedx.fw",
47 DEV_CAPS_16BIT, NULL, NULL },
48
49 /*
50 * CWAV USBee SX
51 */
52 { 0x08a9, 0x0009, "CWAV", "USBee SX", NULL,
53 "fx2lafw-cwav-usbeesx.fw",
54 0, NULL, NULL},
55
56 /*
57 * CWAV USBee ZX
58 */
59 { 0x08a9, 0x0005, "CWAV", "USBee ZX", NULL,
60 "fx2lafw-cwav-usbeezx.fw",
61 0, NULL, NULL},
62
63 /*
64 * Saleae Logic
65 * EE Electronics ESLA100 (clone of the Saleae Logic)
66 * Hantek 6022BL in LA mode (clone of the Saleae Logic)
67 * Instrustar ISDS205X in LA mode (clone of the Saleae Logic)
68 * Robomotic MiniLogic (clone of the Saleae Logic)
69 * Robomotic BugLogic 3 (clone of the Saleae Logic)
70 * MCU123 Saleae Logic clone (clone of the Saleae Logic)
71 */
72 { 0x0925, 0x3881, "Saleae", "Logic", NULL,
73 "fx2lafw-saleae-logic.fw",
74 0, NULL, NULL},
75
76 /*
77 * Default Cypress FX2 without EEPROM, e.g.:
78 * Lcsoft Mini Board
79 * Braintechnology USB Interface V2.x
80 * fx2grok-tiny
81 */
82 { 0x04B4, 0x8613, "Cypress", "FX2", NULL,
83 "fx2lafw-cypress-fx2.fw",
84 DEV_CAPS_16BIT, NULL, NULL },
85
86 /*
87 * Braintechnology USB-LPS
88 */
89 { 0x16d0, 0x0498, "Braintechnology", "USB-LPS", NULL,
90 "fx2lafw-braintechnology-usb-lps.fw",
91 DEV_CAPS_16BIT, NULL, NULL },
92
93 /*
94 * sigrok FX2 based 8-channel logic analyzer
95 * fx2grok-flat (before and after renumeration)
96 */
97 { 0x1d50, 0x608c, "sigrok", "FX2 LA (8ch)", NULL,
98 "fx2lafw-sigrok-fx2-8ch.fw",
99 0, NULL, NULL},
100
101 /*
102 * sigrok FX2 based 16-channel logic analyzer
103 */
104 { 0x1d50, 0x608d, "sigrok", "FX2 LA (16ch)", NULL,
105 "fx2lafw-sigrok-fx2-16ch.fw",
106 DEV_CAPS_16BIT, NULL, NULL },
107
108 ALL_ZERO
109};
110
111static const uint32_t scanopts[] = {
112 SR_CONF_CONN,
113};
114
115static const uint32_t drvopts[] = {
116 SR_CONF_LOGIC_ANALYZER,
117};
118
119static const uint32_t devopts[] = {
120 SR_CONF_CONTINUOUS,
121 SR_CONF_LIMIT_SAMPLES | SR_CONF_GET | SR_CONF_SET,
122 SR_CONF_CONN | SR_CONF_GET,
123 SR_CONF_SAMPLERATE | SR_CONF_GET | SR_CONF_SET | SR_CONF_LIST,
124 SR_CONF_TRIGGER_MATCH | SR_CONF_LIST,
125 SR_CONF_CAPTURE_RATIO | SR_CONF_GET | SR_CONF_SET,
126};
127
128static const int32_t trigger_matches[] = {
129 SR_TRIGGER_ZERO,
130 SR_TRIGGER_ONE,
131 SR_TRIGGER_RISING,
132 SR_TRIGGER_FALLING,
133 SR_TRIGGER_EDGE,
134};
135
136static const uint64_t samplerates[] = {
137 SR_KHZ(20),
138 SR_KHZ(25),
139 SR_KHZ(50),
140 SR_KHZ(100),
141 SR_KHZ(200),
142 SR_KHZ(250),
143 SR_KHZ(500),
144 SR_MHZ(1),
145 SR_MHZ(2),
146 SR_MHZ(3),
147 SR_MHZ(4),
148 SR_MHZ(6),
149 SR_MHZ(8),
150 SR_MHZ(12),
151 SR_MHZ(16),
152 SR_MHZ(24),
153};
154
155static gboolean is_plausible(const struct libusb_device_descriptor *des)
156{
157 int i;
158
159 for (i = 0; supported_fx2[i].vid; i++) {
160 if (des->idVendor != supported_fx2[i].vid)
161 continue;
162 if (des->idProduct == supported_fx2[i].pid)
163 return TRUE;
164 }
165
166 return FALSE;
167}
168
169static GSList *scan(struct sr_dev_driver *di, GSList *options)
170{
171 struct drv_context *drvc;
172 struct dev_context *devc;
173 struct sr_dev_inst *sdi;
174 struct sr_usb_dev_inst *usb;
175 struct sr_channel *ch;
176 struct sr_channel_group *cg;
177 struct sr_config *src;
178 const struct fx2lafw_profile *prof;
179 GSList *l, *devices, *conn_devices;
180 gboolean has_firmware;
181 struct libusb_device_descriptor des;
182 libusb_device **devlist;
183 struct libusb_device_handle *hdl;
184 int ret, i, j;
185 int num_logic_channels = 0, num_analog_channels = 0;
186 const char *conn;
187 char manufacturer[64], product[64], serial_num[64], connection_id[64];
188 char channel_name[16];
189
190 drvc = di->context;
191
192 conn = NULL;
193 for (l = options; l; l = l->next) {
194 src = l->data;
195 switch (src->key) {
196 case SR_CONF_CONN:
197 conn = g_variant_get_string(src->data, NULL);
198 break;
199 }
200 }
201 if (conn)
202 conn_devices = sr_usb_find(drvc->sr_ctx->libusb_ctx, conn);
203 else
204 conn_devices = NULL;
205
206 /* Find all fx2lafw compatible devices and upload firmware to them. */
207 devices = NULL;
208 libusb_get_device_list(drvc->sr_ctx->libusb_ctx, &devlist);
209 for (i = 0; devlist[i]; i++) {
210 if (conn) {
211 usb = NULL;
212 for (l = conn_devices; l; l = l->next) {
213 usb = l->data;
214 if (usb->bus == libusb_get_bus_number(devlist[i])
215 && usb->address == libusb_get_device_address(devlist[i]))
216 break;
217 }
218 if (!l)
219 /* This device matched none of the ones that
220 * matched the conn specification. */
221 continue;
222 }
223
224 libusb_get_device_descriptor( devlist[i], &des);
225
226 if (!is_plausible(&des))
227 continue;
228
229 if ((ret = libusb_open(devlist[i], &hdl)) < 0) {
230 sr_warn("Failed to open potential device with "
231 "VID:PID %04x:%04x: %s.", des.idVendor,
232 des.idProduct, libusb_error_name(ret));
233 continue;
234 }
235
236 if (des.iManufacturer == 0) {
237 manufacturer[0] = '\0';
238 } else if ((ret = libusb_get_string_descriptor_ascii(hdl,
239 des.iManufacturer, (unsigned char *) manufacturer,
240 sizeof(manufacturer))) < 0) {
241 sr_warn("Failed to get manufacturer string descriptor: %s.",
242 libusb_error_name(ret));
243 continue;
244 }
245
246 if (des.iProduct == 0) {
247 product[0] = '\0';
248 } else if ((ret = libusb_get_string_descriptor_ascii(hdl,
249 des.iProduct, (unsigned char *) product,
250 sizeof(product))) < 0) {
251 sr_warn("Failed to get product string descriptor: %s.",
252 libusb_error_name(ret));
253 continue;
254 }
255
256 if (des.iSerialNumber == 0) {
257 serial_num[0] = '\0';
258 } else if ((ret = libusb_get_string_descriptor_ascii(hdl,
259 des.iSerialNumber, (unsigned char *) serial_num,
260 sizeof(serial_num))) < 0) {
261 sr_warn("Failed to get serial number string descriptor: %s.",
262 libusb_error_name(ret));
263 continue;
264 }
265
266 libusb_close(hdl);
267
268 if (usb_get_port_path(devlist[i], connection_id, sizeof(connection_id)) < 0)
269 continue;
270
271 prof = NULL;
272 for (j = 0; supported_fx2[j].vid; j++) {
273 if (des.idVendor == supported_fx2[j].vid &&
274 des.idProduct == supported_fx2[j].pid &&
275 (!supported_fx2[j].usb_manufacturer ||
276 !strcmp(manufacturer, supported_fx2[j].usb_manufacturer)) &&
277 (!supported_fx2[j].usb_product ||
278 !strcmp(product, supported_fx2[j].usb_product))) {
279 prof = &supported_fx2[j];
280 break;
281 }
282 }
283
284 if (!prof)
285 continue;
286
287 sdi = g_malloc0(sizeof(struct sr_dev_inst));
288 sdi->status = SR_ST_INITIALIZING;
289 sdi->vendor = g_strdup(prof->vendor);
290 sdi->model = g_strdup(prof->model);
291 sdi->version = g_strdup(prof->model_version);
292 sdi->serial_num = g_strdup(serial_num);
293 sdi->connection_id = g_strdup(connection_id);
294
295 /* Fill in channellist according to this device's profile. */
296 num_logic_channels = prof->dev_caps & DEV_CAPS_16BIT ? 16 : 8;
297 num_analog_channels = prof->dev_caps & DEV_CAPS_AX_ANALOG ? 1 : 0;
298
299 /* Logic channels, all in one channel group. */
300 cg = g_malloc0(sizeof(struct sr_channel_group));
301 cg->name = g_strdup("Logic");
302 for (j = 0; j < num_logic_channels; j++) {
303 sprintf(channel_name, "D%d", j);
304 ch = sr_channel_new(sdi, j, SR_CHANNEL_LOGIC,
305 TRUE, channel_name);
306 cg->channels = g_slist_append(cg->channels, ch);
307 }
308 sdi->channel_groups = g_slist_append(NULL, cg);
309
310 for (j = 0; j < num_analog_channels; j++) {
311 snprintf(channel_name, 16, "A%d", j);
312 ch = sr_channel_new(sdi, j + num_logic_channels,
313 SR_CHANNEL_ANALOG, TRUE, channel_name);
314
315 /* Every analog channel gets its own channel group. */
316 cg = g_malloc0(sizeof(struct sr_channel_group));
317 cg->name = g_strdup(channel_name);
318 cg->channels = g_slist_append(NULL, ch);
319 sdi->channel_groups = g_slist_append(sdi->channel_groups, cg);
320 }
321
322 devc = fx2lafw_dev_new();
323 devc->profile = prof;
324 sdi->priv = devc;
325 devices = g_slist_append(devices, sdi);
326
327 devc->samplerates = samplerates;
328 devc->num_samplerates = ARRAY_SIZE(samplerates);
329 has_firmware = usb_match_manuf_prod(devlist[i],
330 "sigrok", "fx2lafw");
331
332 if (has_firmware) {
333 /* Already has the firmware, so fix the new address. */
334 sr_dbg("Found an fx2lafw device.");
335 sdi->status = SR_ST_INACTIVE;
336 sdi->inst_type = SR_INST_USB;
337 sdi->conn = sr_usb_dev_inst_new(libusb_get_bus_number(devlist[i]),
338 libusb_get_device_address(devlist[i]), NULL);
339 } else {
340 if (ezusb_upload_firmware(drvc->sr_ctx, devlist[i],
341 USB_CONFIGURATION, prof->firmware) == SR_OK)
342 /* Store when this device's FW was updated. */
343 devc->fw_updated = g_get_monotonic_time();
344 else
345 sr_err("Firmware upload failed for "
346 "device %d.%d (logical).",
347 libusb_get_bus_number(devlist[i]),
348 libusb_get_device_address(devlist[i]));
349 sdi->inst_type = SR_INST_USB;
350 sdi->conn = sr_usb_dev_inst_new(libusb_get_bus_number(devlist[i]),
351 0xff, NULL);
352 }
353 }
354 libusb_free_device_list(devlist, 1);
355 g_slist_free_full(conn_devices, (GDestroyNotify)sr_usb_dev_inst_free);
356
357 return std_scan_complete(di, devices);
358}
359
360static void clear_helper(struct dev_context *devc)
361{
362 g_slist_free(devc->enabled_analog_channels);
363}
364
365static int dev_clear(const struct sr_dev_driver *di)
366{
367 return std_dev_clear_with_callback(di, (std_dev_clear_callback)clear_helper);
368}
369
370static int dev_open(struct sr_dev_inst *sdi)
371{
372 struct sr_dev_driver *di = sdi->driver;
373 struct sr_usb_dev_inst *usb;
374 struct dev_context *devc;
375 int ret;
376 int64_t timediff_us, timediff_ms;
377
378 devc = sdi->priv;
379 usb = sdi->conn;
380
381 /*
382 * If the firmware was recently uploaded, wait up to MAX_RENUM_DELAY_MS
383 * milliseconds for the FX2 to renumerate.
384 */
385 ret = SR_ERR;
386 if (devc->fw_updated > 0) {
387 sr_info("Waiting for device to reset.");
388 /* Takes >= 300ms for the FX2 to be gone from the USB bus. */
389 g_usleep(300 * 1000);
390 timediff_ms = 0;
391 while (timediff_ms < MAX_RENUM_DELAY_MS) {
392 if ((ret = fx2lafw_dev_open(sdi, di)) == SR_OK)
393 break;
394 g_usleep(100 * 1000);
395
396 timediff_us = g_get_monotonic_time() - devc->fw_updated;
397 timediff_ms = timediff_us / 1000;
398 sr_spew("Waited %" PRIi64 "ms.", timediff_ms);
399 }
400 if (ret != SR_OK) {
401 sr_err("Device failed to renumerate.");
402 return SR_ERR;
403 }
404 sr_info("Device came back after %" PRIi64 "ms.", timediff_ms);
405 } else {
406 sr_info("Firmware upload was not needed.");
407 ret = fx2lafw_dev_open(sdi, di);
408 }
409
410 if (ret != SR_OK) {
411 sr_err("Unable to open device.");
412 return SR_ERR;
413 }
414
415 ret = libusb_claim_interface(usb->devhdl, USB_INTERFACE);
416 if (ret != 0) {
417 switch (ret) {
418 case LIBUSB_ERROR_BUSY:
419 sr_err("Unable to claim USB interface. Another "
420 "program or driver has already claimed it.");
421 break;
422 case LIBUSB_ERROR_NO_DEVICE:
423 sr_err("Device has been disconnected.");
424 break;
425 default:
426 sr_err("Unable to claim interface: %s.",
427 libusb_error_name(ret));
428 break;
429 }
430
431 return SR_ERR;
432 }
433
434 if (devc->cur_samplerate == 0) {
435 /* Samplerate hasn't been set; default to the slowest one. */
436 devc->cur_samplerate = devc->samplerates[0];
437 }
438
439 return SR_OK;
440}
441
442static int dev_close(struct sr_dev_inst *sdi)
443{
444 struct sr_usb_dev_inst *usb;
445
446 usb = sdi->conn;
447
448 if (!usb->devhdl)
449 return SR_ERR_BUG;
450
451 sr_info("Closing device on %d.%d (logical) / %s (physical) interface %d.",
452 usb->bus, usb->address, sdi->connection_id, USB_INTERFACE);
453 libusb_release_interface(usb->devhdl, USB_INTERFACE);
454 libusb_close(usb->devhdl);
455 usb->devhdl = NULL;
456
457 return SR_OK;
458}
459
460static int config_get(uint32_t key, GVariant **data,
461 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
462{
463 struct dev_context *devc;
464 struct sr_usb_dev_inst *usb;
465
466 (void)cg;
467
468 if (!sdi)
469 return SR_ERR_ARG;
470
471 devc = sdi->priv;
472
473 switch (key) {
474 case SR_CONF_CONN:
475 if (!sdi->conn)
476 return SR_ERR_ARG;
477 usb = sdi->conn;
478 if (usb->address == 255)
479 /* Device still needs to re-enumerate after firmware
480 * upload, so we don't know its (future) address. */
481 return SR_ERR;
482 *data = g_variant_new_printf("%d.%d", usb->bus, usb->address);
483 break;
484 case SR_CONF_LIMIT_SAMPLES:
485 *data = g_variant_new_uint64(devc->limit_samples);
486 break;
487 case SR_CONF_SAMPLERATE:
488 *data = g_variant_new_uint64(devc->cur_samplerate);
489 break;
490 case SR_CONF_CAPTURE_RATIO:
491 *data = g_variant_new_uint64(devc->capture_ratio);
492 break;
493 default:
494 return SR_ERR_NA;
495 }
496
497 return SR_OK;
498}
499
500static int config_set(uint32_t key, GVariant *data,
501 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
502{
503 struct dev_context *devc;
504 int idx;
505
506 (void)cg;
507
508 if (!sdi)
509 return SR_ERR_ARG;
510
511 devc = sdi->priv;
512
513 switch (key) {
514 case SR_CONF_SAMPLERATE:
515 if ((idx = std_u64_idx(data, devc->samplerates, devc->num_samplerates)) < 0)
516 return SR_ERR_ARG;
517 devc->cur_samplerate = devc->samplerates[idx];
518 break;
519 case SR_CONF_LIMIT_SAMPLES:
520 devc->limit_samples = g_variant_get_uint64(data);
521 break;
522 case SR_CONF_CAPTURE_RATIO:
523 devc->capture_ratio = g_variant_get_uint64(data);
524 break;
525 default:
526 return SR_ERR_NA;
527 }
528
529 return SR_OK;
530}
531
532static int config_list(uint32_t key, GVariant **data,
533 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
534{
535 struct dev_context *devc;
536
537 devc = (sdi) ? sdi->priv : NULL;
538
539 switch (key) {
540 case SR_CONF_SCAN_OPTIONS:
541 case SR_CONF_DEVICE_OPTIONS:
542 return STD_CONFIG_LIST(key, data, sdi, cg, scanopts, drvopts, devopts);
543 case SR_CONF_SAMPLERATE:
544 if (!devc)
545 return SR_ERR_NA;
546 *data = std_gvar_samplerates(devc->samplerates, devc->num_samplerates);
547 break;
548 case SR_CONF_TRIGGER_MATCH:
549 *data = std_gvar_array_i32(ARRAY_AND_SIZE(trigger_matches));
550 break;
551 default:
552 return SR_ERR_NA;
553 }
554
555 return SR_OK;
556}
557
558static int dev_acquisition_stop(struct sr_dev_inst *sdi)
559{
560 fx2lafw_abort_acquisition(sdi->priv);
561
562 return SR_OK;
563}
564
565static struct sr_dev_driver fx2lafw_driver_info = {
566 .name = "fx2lafw",
567 .longname = "fx2lafw (generic driver for FX2 based LAs)",
568 .api_version = 1,
569 .init = std_init,
570 .cleanup = std_cleanup,
571 .scan = scan,
572 .dev_list = std_dev_list,
573 .dev_clear = dev_clear,
574 .config_get = config_get,
575 .config_set = config_set,
576 .config_list = config_list,
577 .dev_open = dev_open,
578 .dev_close = dev_close,
579 .dev_acquisition_start = fx2lafw_start_acquisition,
580 .dev_acquisition_stop = dev_acquisition_stop,
581 .context = NULL,
582};
583SR_REGISTER_DEV_DRIVER(fx2lafw_driver_info);