]> sigrok.org Git - libsigrok.git/blame_incremental - hardware/fx2lafw/api.c
README.devices: uni-t-dmm devices need VID/PID now.
[libsigrok.git] / hardware / fx2lafw / api.c
... / ...
CommitLineData
1/*
2 * This file is part of the libsigrok project.
3 *
4 * Copyright (C) 2013 Bert Vermeulen <bert@biot.com>
5 * Copyright (C) 2012 Joel Holdsworth <joel@airwebreathe.org.uk>
6 *
7 * This program is free software: you can redistribute it and/or modify
8 * it under the terms of the GNU General Public License as published by
9 * the Free Software Foundation, either version 3 of the License, or
10 * (at your option) any later version.
11 *
12 * This program is distributed in the hope that it will be useful,
13 * but WITHOUT ANY WARRANTY; without even the implied warranty of
14 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
15 * GNU General Public License for more details.
16 *
17 * You should have received a copy of the GNU General Public License
18 * along with this program. If not, see <http://www.gnu.org/licenses/>.
19 */
20
21#include "protocol.h"
22
23static const struct fx2lafw_profile supported_fx2[] = {
24 /*
25 * CWAV USBee AX
26 * EE Electronics ESLA201A
27 * ARMFLY AX-Pro
28 */
29 { 0x08a9, 0x0014, "CWAV", "USBee AX", NULL,
30 FIRMWARE_DIR "/fx2lafw-cwav-usbeeax.fw",
31 0 },
32 /*
33 * CWAV USBee DX
34 * XZL-Studio DX
35 */
36 { 0x08a9, 0x0015, "CWAV", "USBee DX", NULL,
37 FIRMWARE_DIR "/fx2lafw-cwav-usbeedx.fw",
38 DEV_CAPS_16BIT },
39
40 /*
41 * CWAV USBee SX
42 */
43 { 0x08a9, 0x0009, "CWAV", "USBee SX", NULL,
44 FIRMWARE_DIR "/fx2lafw-cwav-usbeesx.fw",
45 0 },
46
47 /*
48 * Saleae Logic
49 * EE Electronics ESLA100
50 * Robomotic MiniLogic
51 * Robomotic BugLogic 3
52 */
53 { 0x0925, 0x3881, "Saleae", "Logic", NULL,
54 FIRMWARE_DIR "/fx2lafw-saleae-logic.fw",
55 0 },
56
57 /*
58 * Default Cypress FX2 without EEPROM, e.g.:
59 * Lcsoft Mini Board
60 * Braintechnology USB Interface V2.x
61 */
62 { 0x04B4, 0x8613, "Cypress", "FX2", NULL,
63 FIRMWARE_DIR "/fx2lafw-cypress-fx2.fw",
64 DEV_CAPS_16BIT },
65
66 /*
67 * Braintechnology USB-LPS
68 */
69 { 0x16d0, 0x0498, "Braintechnology", "USB-LPS", NULL,
70 FIRMWARE_DIR "/fx2lafw-braintechnology-usb-lps.fw",
71 DEV_CAPS_16BIT },
72
73 { 0, 0, 0, 0, 0, 0, 0 }
74};
75
76static const int32_t hwopts[] = {
77 SR_CONF_CONN,
78};
79
80static const int32_t hwcaps[] = {
81 SR_CONF_LOGIC_ANALYZER,
82 SR_CONF_TRIGGER_TYPE,
83 SR_CONF_SAMPLERATE,
84
85 /* These are really implemented in the driver, not the hardware. */
86 SR_CONF_LIMIT_SAMPLES,
87 SR_CONF_CONTINUOUS,
88};
89
90static const char *probe_names[] = {
91 "0", "1", "2", "3", "4", "5", "6", "7",
92 "8", "9", "10", "11", "12", "13", "14", "15",
93 NULL,
94};
95
96static const uint64_t samplerates[] = {
97 SR_KHZ(20),
98 SR_KHZ(25),
99 SR_KHZ(50),
100 SR_KHZ(100),
101 SR_KHZ(200),
102 SR_KHZ(250),
103 SR_KHZ(500),
104 SR_MHZ(1),
105 SR_MHZ(2),
106 SR_MHZ(3),
107 SR_MHZ(4),
108 SR_MHZ(6),
109 SR_MHZ(8),
110 SR_MHZ(12),
111 SR_MHZ(16),
112 SR_MHZ(24),
113};
114
115SR_PRIV struct sr_dev_driver fx2lafw_driver_info;
116static struct sr_dev_driver *di = &fx2lafw_driver_info;
117
118static int dev_clear(void)
119{
120 return std_dev_clear(di, NULL);
121}
122
123static int init(struct sr_context *sr_ctx)
124{
125 return std_init(sr_ctx, di, LOG_PREFIX);
126}
127
128static GSList *scan(GSList *options)
129{
130 struct drv_context *drvc;
131 struct dev_context *devc;
132 struct sr_dev_inst *sdi;
133 struct sr_usb_dev_inst *usb;
134 struct sr_probe *probe;
135 struct sr_config *src;
136 const struct fx2lafw_profile *prof;
137 GSList *l, *devices, *conn_devices;
138 struct libusb_device_descriptor des;
139 libusb_device **devlist;
140 int devcnt, num_logic_probes, ret, i, j;
141 const char *conn;
142
143 drvc = di->priv;
144
145 conn = NULL;
146 for (l = options; l; l = l->next) {
147 src = l->data;
148 switch (src->key) {
149 case SR_CONF_CONN:
150 conn = g_variant_get_string(src->data, NULL);
151 break;
152 }
153 }
154 if (conn)
155 conn_devices = sr_usb_find(drvc->sr_ctx->libusb_ctx, conn);
156 else
157 conn_devices = NULL;
158
159 /* Find all fx2lafw compatible devices and upload firmware to them. */
160 devices = NULL;
161 libusb_get_device_list(drvc->sr_ctx->libusb_ctx, &devlist);
162 for (i = 0; devlist[i]; i++) {
163 if (conn) {
164 usb = NULL;
165 for (l = conn_devices; l; l = l->next) {
166 usb = l->data;
167 if (usb->bus == libusb_get_bus_number(devlist[i])
168 && usb->address == libusb_get_device_address(devlist[i]))
169 break;
170 }
171 if (!l)
172 /* This device matched none of the ones that
173 * matched the conn specification. */
174 continue;
175 }
176
177 if ((ret = libusb_get_device_descriptor( devlist[i], &des)) != 0) {
178 sr_warn("Failed to get device descriptor: %s.",
179 libusb_error_name(ret));
180 continue;
181 }
182
183 prof = NULL;
184 for (j = 0; supported_fx2[j].vid; j++) {
185 if (des.idVendor == supported_fx2[j].vid &&
186 des.idProduct == supported_fx2[j].pid) {
187 prof = &supported_fx2[j];
188 }
189 }
190
191 /* Skip if the device was not found. */
192 if (!prof)
193 continue;
194
195 devcnt = g_slist_length(drvc->instances);
196 sdi = sr_dev_inst_new(devcnt, SR_ST_INITIALIZING,
197 prof->vendor, prof->model, prof->model_version);
198 if (!sdi)
199 return NULL;
200 sdi->driver = di;
201
202 /* Fill in probelist according to this device's profile. */
203 num_logic_probes = prof->dev_caps & DEV_CAPS_16BIT ? 16 : 8;
204 for (j = 0; j < num_logic_probes; j++) {
205 if (!(probe = sr_probe_new(j, SR_PROBE_LOGIC, TRUE,
206 probe_names[j])))
207 return NULL;
208 sdi->probes = g_slist_append(sdi->probes, probe);
209 }
210
211 devc = fx2lafw_dev_new();
212 devc->profile = prof;
213 sdi->priv = devc;
214 drvc->instances = g_slist_append(drvc->instances, sdi);
215 devices = g_slist_append(devices, sdi);
216
217 if (fx2lafw_check_conf_profile(devlist[i])) {
218 /* Already has the firmware, so fix the new address. */
219 sr_dbg("Found an fx2lafw device.");
220 sdi->status = SR_ST_INACTIVE;
221 sdi->inst_type = SR_INST_USB;
222 sdi->conn = sr_usb_dev_inst_new(libusb_get_bus_number(devlist[i]),
223 libusb_get_device_address(devlist[i]), NULL);
224 } else {
225 if (ezusb_upload_firmware(devlist[i], USB_CONFIGURATION,
226 prof->firmware) == SR_OK)
227 /* Store when this device's FW was updated. */
228 devc->fw_updated = g_get_monotonic_time();
229 else
230 sr_err("Firmware upload failed for "
231 "device %d.", devcnt);
232 sdi->inst_type = SR_INST_USB;
233 sdi->conn = sr_usb_dev_inst_new (libusb_get_bus_number(devlist[i]),
234 0xff, NULL);
235 }
236 }
237 libusb_free_device_list(devlist, 1);
238 g_slist_free_full(conn_devices, (GDestroyNotify)sr_usb_dev_inst_free);
239
240 return devices;
241}
242
243static GSList *dev_list(void)
244{
245 return ((struct drv_context *)(di->priv))->instances;
246}
247
248static int dev_open(struct sr_dev_inst *sdi)
249{
250 struct sr_usb_dev_inst *usb;
251 struct dev_context *devc;
252 int ret;
253 int64_t timediff_us, timediff_ms;
254
255 devc = sdi->priv;
256 usb = sdi->conn;
257
258 /*
259 * If the firmware was recently uploaded, wait up to MAX_RENUM_DELAY_MS
260 * milliseconds for the FX2 to renumerate.
261 */
262 ret = SR_ERR;
263 if (devc->fw_updated > 0) {
264 sr_info("Waiting for device to reset.");
265 /* Takes >= 300ms for the FX2 to be gone from the USB bus. */
266 g_usleep(300 * 1000);
267 timediff_ms = 0;
268 while (timediff_ms < MAX_RENUM_DELAY_MS) {
269 if ((ret = fx2lafw_dev_open(sdi, di)) == SR_OK)
270 break;
271 g_usleep(100 * 1000);
272
273 timediff_us = g_get_monotonic_time() - devc->fw_updated;
274 timediff_ms = timediff_us / 1000;
275 sr_spew("Waited %" PRIi64 "ms.", timediff_ms);
276 }
277 if (ret != SR_OK) {
278 sr_err("Device failed to renumerate.");
279 return SR_ERR;
280 }
281 sr_info("Device came back after %" PRIi64 "ms.", timediff_ms);
282 } else {
283 sr_info("Firmware upload was not needed.");
284 ret = fx2lafw_dev_open(sdi, di);
285 }
286
287 if (ret != SR_OK) {
288 sr_err("Unable to open device.");
289 return SR_ERR;
290 }
291
292 ret = libusb_claim_interface(usb->devhdl, USB_INTERFACE);
293 if (ret != 0) {
294 switch(ret) {
295 case LIBUSB_ERROR_BUSY:
296 sr_err("Unable to claim USB interface. Another "
297 "program or driver has already claimed it.");
298 break;
299 case LIBUSB_ERROR_NO_DEVICE:
300 sr_err("Device has been disconnected.");
301 break;
302 default:
303 sr_err("Unable to claim interface: %s.",
304 libusb_error_name(ret));
305 break;
306 }
307
308 return SR_ERR;
309 }
310
311 if (devc->cur_samplerate == 0) {
312 /* Samplerate hasn't been set; default to the slowest one. */
313 devc->cur_samplerate = samplerates[0];
314 }
315
316 return SR_OK;
317}
318
319static int dev_close(struct sr_dev_inst *sdi)
320{
321 struct sr_usb_dev_inst *usb;
322
323 usb = sdi->conn;
324 if (usb->devhdl == NULL)
325 return SR_ERR;
326
327 sr_info("fx2lafw: Closing device %d on %d.%d interface %d.",
328 sdi->index, usb->bus, usb->address, USB_INTERFACE);
329 libusb_release_interface(usb->devhdl, USB_INTERFACE);
330 libusb_close(usb->devhdl);
331 usb->devhdl = NULL;
332 sdi->status = SR_ST_INACTIVE;
333
334 return SR_OK;
335}
336
337static int cleanup(void)
338{
339 int ret;
340 struct drv_context *drvc;
341
342 if (!(drvc = di->priv))
343 return SR_OK;
344
345 ret = dev_clear();
346
347 g_free(drvc);
348 di->priv = NULL;
349
350 return ret;
351}
352
353static int config_get(int id, GVariant **data, const struct sr_dev_inst *sdi)
354{
355 struct dev_context *devc;
356 struct sr_usb_dev_inst *usb;
357 char str[128];
358
359 switch (id) {
360 case SR_CONF_CONN:
361 if (!sdi || !sdi->conn)
362 return SR_ERR_ARG;
363 usb = sdi->conn;
364 if (usb->address == 255)
365 /* Device still needs to re-enumerate after firmware
366 * upload, so we don't know its (future) address. */
367 return SR_ERR;
368 snprintf(str, 128, "%d.%d", usb->bus, usb->address);
369 *data = g_variant_new_string(str);
370 break;
371 case SR_CONF_SAMPLERATE:
372 if (!sdi)
373 return SR_ERR;
374 devc = sdi->priv;
375 *data = g_variant_new_uint64(devc->cur_samplerate);
376 break;
377 default:
378 return SR_ERR_NA;
379 }
380
381 return SR_OK;
382}
383
384static int config_set(int id, GVariant *data, const struct sr_dev_inst *sdi)
385{
386 struct dev_context *devc;
387 int ret;
388
389 if (sdi->status != SR_ST_ACTIVE)
390 return SR_ERR;
391
392 devc = sdi->priv;
393
394 if (id == SR_CONF_SAMPLERATE) {
395 devc->cur_samplerate = g_variant_get_uint64(data);
396 ret = SR_OK;
397 } else if (id == SR_CONF_LIMIT_SAMPLES) {
398 devc->limit_samples = g_variant_get_uint64(data);
399 ret = SR_OK;
400 } else {
401 ret = SR_ERR_NA;
402 }
403
404 return ret;
405}
406
407static int config_list(int key, GVariant **data, const struct sr_dev_inst *sdi)
408{
409 GVariant *gvar;
410 GVariantBuilder gvb;
411
412 (void)sdi;
413
414 switch (key) {
415 case SR_CONF_SCAN_OPTIONS:
416 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_INT32,
417 hwopts, ARRAY_SIZE(hwopts), sizeof(int32_t));
418 break;
419 case SR_CONF_DEVICE_OPTIONS:
420 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_INT32,
421 hwcaps, ARRAY_SIZE(hwcaps), sizeof(int32_t));
422 break;
423 case SR_CONF_SAMPLERATE:
424 g_variant_builder_init(&gvb, G_VARIANT_TYPE("a{sv}"));
425 gvar = g_variant_new_fixed_array(G_VARIANT_TYPE("t"), samplerates,
426 ARRAY_SIZE(samplerates), sizeof(uint64_t));
427 g_variant_builder_add(&gvb, "{sv}", "samplerates", gvar);
428 *data = g_variant_builder_end(&gvb);
429 break;
430 case SR_CONF_TRIGGER_TYPE:
431 *data = g_variant_new_string(TRIGGER_TYPE);
432 break;
433 default:
434 return SR_ERR_NA;
435 }
436
437 return SR_OK;
438}
439
440static int receive_data(int fd, int revents, void *cb_data)
441{
442 struct timeval tv;
443 struct drv_context *drvc;
444
445 (void)fd;
446 (void)revents;
447 (void)cb_data;
448
449 drvc = di->priv;
450
451 tv.tv_sec = tv.tv_usec = 0;
452 libusb_handle_events_timeout(drvc->sr_ctx->libusb_ctx, &tv);
453
454 return TRUE;
455}
456
457static int dev_acquisition_start(const struct sr_dev_inst *sdi, void *cb_data)
458{
459 struct dev_context *devc;
460 struct drv_context *drvc;
461 struct sr_usb_dev_inst *usb;
462 struct libusb_transfer *transfer;
463 const struct libusb_pollfd **lupfd;
464 unsigned int i, timeout, num_transfers;
465 int ret;
466 unsigned char *buf;
467 size_t size;
468
469 if (sdi->status != SR_ST_ACTIVE)
470 return SR_ERR_DEV_CLOSED;
471
472 drvc = di->priv;
473 devc = sdi->priv;
474 usb = sdi->conn;
475
476 /* Configures devc->trigger_* and devc->sample_wide */
477 if (fx2lafw_configure_probes(sdi) != SR_OK) {
478 sr_err("Failed to configure probes.");
479 return SR_ERR;
480 }
481
482 devc->cb_data = cb_data;
483 devc->num_samples = 0;
484 devc->empty_transfer_count = 0;
485
486 timeout = fx2lafw_get_timeout(devc);
487 num_transfers = fx2lafw_get_number_of_transfers(devc);
488 size = fx2lafw_get_buffer_size(devc);
489 devc->submitted_transfers = 0;
490
491 devc->transfers = g_try_malloc0(sizeof(*devc->transfers) * num_transfers);
492 if (!devc->transfers) {
493 sr_err("USB transfers malloc failed.");
494 return SR_ERR_MALLOC;
495 }
496
497 devc->num_transfers = num_transfers;
498 for (i = 0; i < num_transfers; i++) {
499 if (!(buf = g_try_malloc(size))) {
500 sr_err("USB transfer buffer malloc failed.");
501 return SR_ERR_MALLOC;
502 }
503 transfer = libusb_alloc_transfer(0);
504 libusb_fill_bulk_transfer(transfer, usb->devhdl,
505 2 | LIBUSB_ENDPOINT_IN, buf, size,
506 fx2lafw_receive_transfer, devc, timeout);
507 if ((ret = libusb_submit_transfer(transfer)) != 0) {
508 sr_err("Failed to submit transfer: %s.",
509 libusb_error_name(ret));
510 libusb_free_transfer(transfer);
511 g_free(buf);
512 fx2lafw_abort_acquisition(devc);
513 return SR_ERR;
514 }
515 devc->transfers[i] = transfer;
516 devc->submitted_transfers++;
517 }
518
519 lupfd = libusb_get_pollfds(drvc->sr_ctx->libusb_ctx);
520 for (i = 0; lupfd[i]; i++);
521 if (!(devc->usbfd = g_try_malloc(sizeof(struct libusb_pollfd) * (i + 1))))
522 return SR_ERR;
523 for (i = 0; lupfd[i]; i++) {
524 sr_source_add(lupfd[i]->fd, lupfd[i]->events,
525 timeout, receive_data, NULL);
526 devc->usbfd[i] = lupfd[i]->fd;
527 }
528 devc->usbfd[i] = -1;
529 free(lupfd);
530
531 /* Send header packet to the session bus. */
532 std_session_send_df_header(cb_data, LOG_PREFIX);
533
534 if ((ret = fx2lafw_command_start_acquisition(usb->devhdl,
535 devc->cur_samplerate, devc->sample_wide)) != SR_OK) {
536 fx2lafw_abort_acquisition(devc);
537 return ret;
538 }
539
540 return SR_OK;
541}
542
543static int dev_acquisition_stop(struct sr_dev_inst *sdi, void *cb_data)
544{
545 (void)cb_data;
546
547 fx2lafw_abort_acquisition(sdi->priv);
548
549 return SR_OK;
550}
551
552SR_PRIV struct sr_dev_driver fx2lafw_driver_info = {
553 .name = "fx2lafw",
554 .longname = "fx2lafw (generic driver for FX2 based LAs)",
555 .api_version = 1,
556 .init = init,
557 .cleanup = cleanup,
558 .scan = scan,
559 .dev_list = dev_list,
560 .dev_clear = dev_clear,
561 .config_get = config_get,
562 .config_set = config_set,
563 .config_list = config_list,
564 .dev_open = dev_open,
565 .dev_close = dev_close,
566 .dev_acquisition_start = dev_acquisition_start,
567 .dev_acquisition_stop = dev_acquisition_stop,
568 .priv = NULL,
569};