]> sigrok.org Git - libsigrokdecode.git/blame_incremental - decoders/uart/pd.py
avr_isp: Add more parts
[libsigrokdecode.git] / decoders / uart / pd.py
... / ...
CommitLineData
1##
2## This file is part of the libsigrokdecode project.
3##
4## Copyright (C) 2011-2014 Uwe Hermann <uwe@hermann-uwe.de>
5##
6## This program is free software; you can redistribute it and/or modify
7## it under the terms of the GNU General Public License as published by
8## the Free Software Foundation; either version 2 of the License, or
9## (at your option) any later version.
10##
11## This program is distributed in the hope that it will be useful,
12## but WITHOUT ANY WARRANTY; without even the implied warranty of
13## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
14## GNU General Public License for more details.
15##
16## You should have received a copy of the GNU General Public License
17## along with this program; if not, see <http://www.gnu.org/licenses/>.
18##
19
20import sigrokdecode as srd
21from common.srdhelper import bitpack
22from math import floor, ceil
23
24'''
25OUTPUT_PYTHON format:
26
27Packet:
28[<ptype>, <rxtx>, <pdata>]
29
30This is the list of <ptype>s and their respective <pdata> values:
31 - 'STARTBIT': The data is the (integer) value of the start bit (0/1).
32 - 'DATA': This is always a tuple containing two items:
33 - 1st item: the (integer) value of the UART data. Valid values
34 range from 0 to 511 (as the data can be up to 9 bits in size).
35 - 2nd item: the list of individual data bits and their ss/es numbers.
36 - 'PARITYBIT': The data is the (integer) value of the parity bit (0/1).
37 - 'STOPBIT': The data is the (integer) value of the stop bit (0 or 1).
38 - 'INVALID STARTBIT': The data is the (integer) value of the start bit (0/1).
39 - 'INVALID STOPBIT': The data is the (integer) value of the stop bit (0/1).
40 - 'PARITY ERROR': The data is a tuple with two entries. The first one is
41 the expected parity value, the second is the actual parity value.
42 - 'BREAK': The data is always 0.
43 - 'FRAME': The data is always a tuple containing two items: The (integer)
44 value of the UART data, and a boolean which reflects the validity of the
45 UART frame.
46 - 'IDLE': The data is always 0.
47
48The <rxtx> field is 0 for RX packets, 1 for TX packets.
49'''
50
51# Used for differentiating between the two data directions.
52RX = 0
53TX = 1
54
55# Given a parity type to check (odd, even, zero, one), the value of the
56# parity bit, the value of the data, and the length of the data (5-9 bits,
57# usually 8 bits) return True if the parity is correct, False otherwise.
58# 'none' is _not_ allowed as value for 'parity_type'.
59def parity_ok(parity_type, parity_bit, data, data_bits):
60
61 if parity_type == 'ignore':
62 return True
63
64 # Handle easy cases first (parity bit is always 1 or 0).
65 if parity_type == 'zero':
66 return parity_bit == 0
67 elif parity_type == 'one':
68 return parity_bit == 1
69
70 # Count number of 1 (high) bits in the data (and the parity bit itself!).
71 ones = bin(data).count('1') + parity_bit
72
73 # Check for odd/even parity.
74 if parity_type == 'odd':
75 return (ones % 2) == 1
76 elif parity_type == 'even':
77 return (ones % 2) == 0
78
79class SamplerateError(Exception):
80 pass
81
82class ChannelError(Exception):
83 pass
84
85class Ann:
86 RX_DATA, TX_DATA, RX_START, TX_START, RX_PARITY_OK, TX_PARITY_OK, \
87 RX_PARITY_ERR, TX_PARITY_ERR, RX_STOP, TX_STOP, RX_WARN, TX_WARN, \
88 RX_DATA_BIT, TX_DATA_BIT, RX_BREAK, TX_BREAK, RX_PACKET, TX_PACKET = \
89 range(18)
90
91class Bin:
92 RX, TX, RXTX = range(3)
93
94class Decoder(srd.Decoder):
95 api_version = 3
96 id = 'uart'
97 name = 'UART'
98 longname = 'Universal Asynchronous Receiver/Transmitter'
99 desc = 'Asynchronous, serial bus.'
100 license = 'gplv2+'
101 inputs = ['logic']
102 outputs = ['uart']
103 tags = ['Embedded/industrial']
104 optional_channels = (
105 # Allow specifying only one of the signals, e.g. if only one data
106 # direction exists (or is relevant).
107 {'id': 'rx', 'name': 'RX', 'desc': 'UART receive line'},
108 {'id': 'tx', 'name': 'TX', 'desc': 'UART transmit line'},
109 )
110 options = (
111 {'id': 'baudrate', 'desc': 'Baud rate', 'default': 115200},
112 {'id': 'data_bits', 'desc': 'Data bits', 'default': 8,
113 'values': (5, 6, 7, 8, 9)},
114 {'id': 'parity', 'desc': 'Parity', 'default': 'none',
115 'values': ('none', 'odd', 'even', 'zero', 'one', 'ignore')},
116 {'id': 'stop_bits', 'desc': 'Stop bits', 'default': 1.0,
117 'values': (0.0, 0.5, 1.0, 1.5, 2.0)},
118 {'id': 'bit_order', 'desc': 'Bit order', 'default': 'lsb-first',
119 'values': ('lsb-first', 'msb-first')},
120 {'id': 'format', 'desc': 'Data format', 'default': 'hex',
121 'values': ('ascii', 'dec', 'hex', 'oct', 'bin')},
122 {'id': 'invert_rx', 'desc': 'Invert RX', 'default': 'no',
123 'values': ('yes', 'no')},
124 {'id': 'invert_tx', 'desc': 'Invert TX', 'default': 'no',
125 'values': ('yes', 'no')},
126 {'id': 'sample_point', 'desc': 'Sample point (%)', 'default': 50},
127 {'id': 'rx_packet_delim', 'desc': 'RX packet delimiter (decimal)',
128 'default': -1},
129 {'id': 'tx_packet_delim', 'desc': 'TX packet delimiter (decimal)',
130 'default': -1},
131 {'id': 'rx_packet_len', 'desc': 'RX packet length', 'default': -1},
132 {'id': 'tx_packet_len', 'desc': 'TX packet length', 'default': -1},
133 )
134 annotations = (
135 ('rx-data', 'RX data'),
136 ('tx-data', 'TX data'),
137 ('rx-start', 'RX start bit'),
138 ('tx-start', 'TX start bit'),
139 ('rx-parity-ok', 'RX parity OK bit'),
140 ('tx-parity-ok', 'TX parity OK bit'),
141 ('rx-parity-err', 'RX parity error bit'),
142 ('tx-parity-err', 'TX parity error bit'),
143 ('rx-stop', 'RX stop bit'),
144 ('tx-stop', 'TX stop bit'),
145 ('rx-warning', 'RX warning'),
146 ('tx-warning', 'TX warning'),
147 ('rx-data-bit', 'RX data bit'),
148 ('tx-data-bit', 'TX data bit'),
149 ('rx-break', 'RX break'),
150 ('tx-break', 'TX break'),
151 ('rx-packet', 'RX packet'),
152 ('tx-packet', 'TX packet'),
153 )
154 annotation_rows = (
155 ('rx-data-bits', 'RX bits', (Ann.RX_DATA_BIT,)),
156 ('rx-data-vals', 'RX data', (Ann.RX_DATA, Ann.RX_START, Ann.RX_PARITY_OK, Ann.RX_PARITY_ERR, Ann.RX_STOP)),
157 ('rx-warnings', 'RX warnings', (Ann.RX_WARN,)),
158 ('rx-breaks', 'RX breaks', (Ann.RX_BREAK,)),
159 ('rx-packets', 'RX packets', (Ann.RX_PACKET,)),
160 ('tx-data-bits', 'TX bits', (Ann.TX_DATA_BIT,)),
161 ('tx-data-vals', 'TX data', (Ann.TX_DATA, Ann.TX_START, Ann.TX_PARITY_OK, Ann.TX_PARITY_ERR, Ann.TX_STOP)),
162 ('tx-warnings', 'TX warnings', (Ann.TX_WARN,)),
163 ('tx-breaks', 'TX breaks', (Ann.TX_BREAK,)),
164 ('tx-packets', 'TX packets', (Ann.TX_PACKET,)),
165 )
166 binary = (
167 ('rx', 'RX dump'),
168 ('tx', 'TX dump'),
169 ('rxtx', 'RX/TX dump'),
170 )
171 idle_state = ['WAIT FOR START BIT', 'WAIT FOR START BIT']
172
173 def putx(self, rxtx, data):
174 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
175 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_ann, data)
176
177 def putx_packet(self, rxtx, data):
178 s, halfbit = self.ss_packet[rxtx], self.bit_width / 2.0
179 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_ann, data)
180
181 def putpx(self, rxtx, data):
182 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
183 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_python, data)
184
185 def putg(self, data):
186 s, halfbit = self.samplenum, self.bit_width / 2.0
187 self.put(s - floor(halfbit), s + ceil(halfbit), self.out_ann, data)
188
189 def putp(self, data):
190 s, halfbit = self.samplenum, self.bit_width / 2.0
191 self.put(s - floor(halfbit), s + ceil(halfbit), self.out_python, data)
192
193 def putgse(self, ss, es, data):
194 self.put(ss, es, self.out_ann, data)
195
196 def putpse(self, ss, es, data):
197 self.put(ss, es, self.out_python, data)
198
199 def putbin(self, rxtx, data):
200 s, halfbit = self.startsample[rxtx], self.bit_width / 2.0
201 self.put(s - floor(halfbit), self.samplenum + ceil(halfbit), self.out_binary, data)
202
203 def __init__(self):
204 self.reset()
205
206 def reset(self):
207 self.samplerate = None
208 self.frame_start = [-1, -1]
209 self.frame_valid = [None, None]
210 self.cur_frame_bit = [None, None]
211 self.startbit = [-1, -1]
212 self.cur_data_bit = [0, 0]
213 self.datavalue = [0, 0]
214 self.paritybit = [-1, -1]
215 self.stopbits = [[], []]
216 self.startsample = [-1, -1]
217 self.state = ['WAIT FOR START BIT', 'WAIT FOR START BIT']
218 self.databits = [[], []]
219 self.break_start = [None, None]
220 self.packet_cache = [[], []]
221 self.ss_packet, self.es_packet = [None, None], [None, None]
222 self.idle_start = [None, None]
223
224 def start(self):
225 self.out_python = self.register(srd.OUTPUT_PYTHON)
226 self.out_binary = self.register(srd.OUTPUT_BINARY)
227 self.out_ann = self.register(srd.OUTPUT_ANN)
228 self.bw = (self.options['data_bits'] + 7) // 8
229
230 def metadata(self, key, value):
231 if key == srd.SRD_CONF_SAMPLERATE:
232 self.samplerate = value
233 # The width of one UART bit in number of samples.
234 self.bit_width = float(self.samplerate) / float(self.options['baudrate'])
235
236 def get_sample_point(self, rxtx, bitnum):
237 # Determine absolute sample number of a bit slot's sample point.
238 # Counts for UART bits start from 0 (0 = start bit, 1..x = data,
239 # x+1 = parity bit (if used) or the first stop bit, and so on).
240 # Accept a position in the range of 1-99% of the full bit width.
241 # Assume 50% for invalid input specs for backwards compatibility.
242 perc = self.options['sample_point'] or 50
243 if not perc or perc not in range(1, 100):
244 perc = 50
245 perc /= 100.0
246 bitpos = (self.bit_width - 1) * perc
247 bitpos += self.frame_start[rxtx]
248 bitpos += bitnum * self.bit_width
249 return bitpos
250
251 def wait_for_start_bit(self, rxtx, signal):
252 # Save the sample number where the start bit begins.
253 self.frame_start[rxtx] = self.samplenum
254 self.frame_valid[rxtx] = True
255 self.cur_frame_bit[rxtx] = 0
256
257 self.advance_state(rxtx, signal)
258
259 def get_start_bit(self, rxtx, signal):
260 self.startbit[rxtx] = signal
261 self.cur_frame_bit[rxtx] += 1
262
263 # The startbit must be 0. If not, we report an error and wait
264 # for the next start bit (assuming this one was spurious).
265 if self.startbit[rxtx] != 0:
266 self.putp(['INVALID STARTBIT', rxtx, self.startbit[rxtx]])
267 self.putg([Ann.RX_WARN + rxtx, ['Frame error', 'Frame err', 'FE']])
268 self.frame_valid[rxtx] = False
269 es = self.samplenum + ceil(self.bit_width / 2.0)
270 self.putpse(self.frame_start[rxtx], es, ['FRAME', rxtx,
271 (self.datavalue[rxtx], self.frame_valid[rxtx])])
272 self.advance_state(rxtx, signal, fatal = True, idle = es)
273 return
274
275 # Reset internal state for the pending UART frame.
276 self.cur_data_bit[rxtx] = 0
277 self.datavalue[rxtx] = 0
278 self.paritybit[rxtx] = -1
279 self.stopbits[rxtx].clear()
280 self.startsample[rxtx] = -1
281 self.databits[rxtx].clear()
282
283 self.putp(['STARTBIT', rxtx, self.startbit[rxtx]])
284 self.putg([Ann.RX_START + rxtx, ['Start bit', 'Start', 'S']])
285
286 self.advance_state(rxtx, signal)
287
288 def handle_packet(self, rxtx):
289 d = 'rx' if (rxtx == RX) else 'tx'
290 delim = self.options[d + '_packet_delim']
291 plen = self.options[d + '_packet_len']
292 if delim == -1 and plen == -1:
293 return
294
295 # Cache data values until we see the delimiter and/or the specified
296 # packet length has been reached (whichever happens first).
297 if len(self.packet_cache[rxtx]) == 0:
298 self.ss_packet[rxtx] = self.startsample[rxtx]
299 self.packet_cache[rxtx].append(self.datavalue[rxtx])
300 if self.datavalue[rxtx] == delim or len(self.packet_cache[rxtx]) == plen:
301 self.es_packet[rxtx] = self.samplenum
302 s = ''
303 for b in self.packet_cache[rxtx]:
304 s += self.format_value(b)
305 if self.options['format'] != 'ascii':
306 s += ' '
307 if self.options['format'] != 'ascii' and s[-1] == ' ':
308 s = s[:-1] # Drop trailing space.
309 self.putx_packet(rxtx, [Ann.RX_PACKET + rxtx, [s]])
310 self.packet_cache[rxtx] = []
311
312 def get_data_bits(self, rxtx, signal):
313 # Save the sample number of the middle of the first data bit.
314 if self.startsample[rxtx] == -1:
315 self.startsample[rxtx] = self.samplenum
316
317 self.putg([Ann.RX_DATA_BIT + rxtx, ['%d' % signal]])
318
319 # Store individual data bits and their start/end samplenumbers.
320 s, halfbit = self.samplenum, int(self.bit_width / 2)
321 self.databits[rxtx].append([signal, s - halfbit, s + halfbit])
322 self.cur_frame_bit[rxtx] += 1
323
324 # Return here, unless we already received all data bits.
325 self.cur_data_bit[rxtx] += 1
326 if self.cur_data_bit[rxtx] < self.options['data_bits']:
327 return
328
329 # Convert accumulated data bits to a data value.
330 bits = [b[0] for b in self.databits[rxtx]]
331 if self.options['bit_order'] == 'msb-first':
332 bits.reverse()
333 self.datavalue[rxtx] = bitpack(bits)
334 self.putpx(rxtx, ['DATA', rxtx,
335 (self.datavalue[rxtx], self.databits[rxtx])])
336
337 b = self.datavalue[rxtx]
338 formatted = self.format_value(b)
339 if formatted is not None:
340 self.putx(rxtx, [rxtx, [formatted]])
341
342 bdata = b.to_bytes(self.bw, byteorder='big')
343 self.putbin(rxtx, [Bin.RX + rxtx, bdata])
344 self.putbin(rxtx, [Bin.RXTX, bdata])
345
346 self.handle_packet(rxtx)
347
348 self.databits[rxtx] = []
349
350 self.advance_state(rxtx, signal)
351
352 def format_value(self, v):
353 # Format value 'v' according to configured options.
354 # Reflects the user selected kind of representation, as well as
355 # the number of data bits in the UART frames.
356
357 fmt, bits = self.options['format'], self.options['data_bits']
358
359 # Assume "is printable" for values from 32 to including 126,
360 # below 32 is "control" and thus not printable, above 127 is
361 # "not ASCII" in its strict sense, 127 (DEL) is not printable,
362 # fall back to hex representation for non-printables.
363 if fmt == 'ascii':
364 if v in range(32, 126 + 1):
365 return chr(v)
366 hexfmt = "[{:02X}]" if bits <= 8 else "[{:03X}]"
367 return hexfmt.format(v)
368
369 # Mere number to text conversion without prefix and padding
370 # for the "decimal" output format.
371 if fmt == 'dec':
372 return "{:d}".format(v)
373
374 # Padding with leading zeroes for hex/oct/bin formats, but
375 # without a prefix for density -- since the format is user
376 # specified, there is no ambiguity.
377 if fmt == 'hex':
378 digits = (bits + 4 - 1) // 4
379 fmtchar = "X"
380 elif fmt == 'oct':
381 digits = (bits + 3 - 1) // 3
382 fmtchar = "o"
383 elif fmt == 'bin':
384 digits = bits
385 fmtchar = "b"
386 else:
387 fmtchar = None
388 if fmtchar is not None:
389 fmt = "{{:0{:d}{:s}}}".format(digits, fmtchar)
390 return fmt.format(v)
391
392 return None
393
394 def get_parity_bit(self, rxtx, signal):
395 self.paritybit[rxtx] = signal
396 self.cur_frame_bit[rxtx] += 1
397
398 if parity_ok(self.options['parity'], self.paritybit[rxtx],
399 self.datavalue[rxtx], self.options['data_bits']):
400 self.putp(['PARITYBIT', rxtx, self.paritybit[rxtx]])
401 self.putg([Ann.RX_PARITY_OK + rxtx, ['Parity bit', 'Parity', 'P']])
402 else:
403 # TODO: Return expected/actual parity values.
404 self.putp(['PARITY ERROR', rxtx, (0, 1)]) # FIXME: Dummy tuple...
405 self.putg([Ann.RX_PARITY_ERR + rxtx, ['Parity error', 'Parity err', 'PE']])
406 self.frame_valid[rxtx] = False
407
408 self.advance_state(rxtx, signal)
409
410 def get_stop_bits(self, rxtx, signal):
411 self.stopbits[rxtx].append(signal)
412 self.cur_frame_bit[rxtx] += 1
413
414 # Stop bits must be 1. If not, we report an error.
415 if signal != 1:
416 self.putp(['INVALID STOPBIT', rxtx, signal])
417 self.putg([Ann.RX_WARN + rxtx, ['Frame error', 'Frame err', 'FE']])
418 self.frame_valid[rxtx] = False
419
420 self.putp(['STOPBIT', rxtx, signal])
421 self.putg([Ann.RX_STOP + rxtx, ['Stop bit', 'Stop', 'T']])
422
423 # Postprocess the UART frame after all STOP bits were seen.
424 if len(self.stopbits[rxtx]) < self.options['stop_bits']:
425 return
426 self.advance_state(rxtx, signal)
427
428 def advance_state(self, rxtx, signal = None, fatal = False, idle = None):
429 # Advances the protocol decoder's internal state for all regular
430 # UART frame inspection. Deals with either edges, sample points,
431 # or other .wait() conditions. Also gracefully handles extreme
432 # undersampling. Each turn takes one .wait() call which in turn
433 # corresponds to at least one sample. That is why as many state
434 # transitions are done here as required within a single call.
435 frame_end = self.frame_start[rxtx] + self.frame_len_sample_count
436 if idle is not None:
437 # When requested by the caller, start another (potential)
438 # IDLE period after the caller specified position.
439 self.idle_start[rxtx] = idle
440 if fatal:
441 # When requested by the caller, don't advance to the next
442 # UART frame's field, but to the start of the next START bit
443 # instead.
444 self.state[rxtx] = 'WAIT FOR START BIT'
445 return
446 # Advance to the next UART frame's field that we expect. Cope
447 # with absence of optional fields. Force scan for next IDLE
448 # after the (optional) STOP bit field, so that callers need
449 # not deal with optional field presence. Also handles the cases
450 # where the decoder navigates to edges which are not strictly
451 # a field's sampling point.
452 if self.state[rxtx] == 'WAIT FOR START BIT':
453 self.state[rxtx] = 'GET START BIT'
454 return
455 if self.state[rxtx] == 'GET START BIT':
456 self.state[rxtx] = 'GET DATA BITS'
457 return
458 if self.state[rxtx] == 'GET DATA BITS':
459 self.state[rxtx] = 'GET PARITY BIT'
460 if self.options['parity'] != 'none':
461 return
462 # FALLTHROUGH
463 if self.state[rxtx] == 'GET PARITY BIT':
464 self.state[rxtx] = 'GET STOP BITS'
465 if self.options['stop_bits']:
466 return
467 # FALLTHROUGH
468 if self.state[rxtx] == 'GET STOP BITS':
469 # Postprocess the previously received UART frame. Advance
470 # the read position to after the frame's last bit time. So
471 # that the start of the next START bit won't fall into the
472 # end of the previously received UART frame. This improves
473 # robustness in the presence of glitchy input data.
474 ss = self.frame_start[rxtx]
475 es = self.samplenum + ceil(self.bit_width / 2.0)
476 self.handle_frame(rxtx, ss, es)
477 self.state[rxtx] = 'WAIT FOR START BIT'
478 self.idle_start[rxtx] = frame_end
479 return
480 # Unhandled state, actually a programming error. Emit diagnostics?
481 self.state[rxtx] = 'WAIT FOR START BIT'
482
483 def handle_frame(self, rxtx, ss, es):
484 # Pass the complete UART frame to upper layers.
485 self.putpse(ss, es, ['FRAME', rxtx,
486 (self.datavalue[rxtx], self.frame_valid[rxtx])])
487
488 def handle_idle(self, rxtx, ss, es):
489 self.putpse(ss, es, ['IDLE', rxtx, 0])
490
491 def handle_break(self, rxtx, ss, es):
492 self.putpse(ss, es, ['BREAK', rxtx, 0])
493 self.putgse(ss, es, [Ann.RX_BREAK + rxtx,
494 ['Break condition', 'Break', 'Brk', 'B']])
495 self.state[rxtx] = 'WAIT FOR START BIT'
496
497 def get_wait_cond(self, rxtx, inv):
498 # Return condititions that are suitable for Decoder.wait(). Those
499 # conditions either match the falling edge of the START bit, or
500 # the sample point of the next bit time.
501 state = self.state[rxtx]
502 if state == 'WAIT FOR START BIT':
503 return {rxtx: 'r' if inv else 'f'}
504 if state in ('GET START BIT', 'GET DATA BITS',
505 'GET PARITY BIT', 'GET STOP BITS'):
506 bitnum = self.cur_frame_bit[rxtx]
507 # TODO: Currently does not support half STOP bits.
508 want_num = ceil(self.get_sample_point(rxtx, bitnum))
509 return {'skip': want_num - self.samplenum}
510
511 def get_idle_cond(self, rxtx, inv):
512 # Return a condition that corresponds to the (expected) end of
513 # the next frame, assuming that it will be an "idle frame"
514 # (constant high input level for the frame's length).
515 if self.idle_start[rxtx] is None:
516 return None
517 end_of_frame = self.idle_start[rxtx] + self.frame_len_sample_count
518 if end_of_frame < self.samplenum:
519 return None
520 return {'skip': end_of_frame - self.samplenum}
521
522 def inspect_sample(self, rxtx, signal, inv):
523 # Inspect a sample returned by .wait() for the specified UART line.
524 if inv:
525 signal = not signal
526
527 state = self.state[rxtx]
528 if state == 'WAIT FOR START BIT':
529 self.wait_for_start_bit(rxtx, signal)
530 elif state == 'GET START BIT':
531 self.get_start_bit(rxtx, signal)
532 elif state == 'GET DATA BITS':
533 self.get_data_bits(rxtx, signal)
534 elif state == 'GET PARITY BIT':
535 self.get_parity_bit(rxtx, signal)
536 elif state == 'GET STOP BITS':
537 self.get_stop_bits(rxtx, signal)
538
539 def inspect_edge(self, rxtx, signal, inv):
540 # Inspect edges, independently from traffic, to detect break conditions.
541 if inv:
542 signal = not signal
543 if not signal:
544 # Signal went low. Start another interval.
545 self.break_start[rxtx] = self.samplenum
546 return
547 # Signal went high. Was there an extended period with low signal?
548 if self.break_start[rxtx] is None:
549 return
550 diff = self.samplenum - self.break_start[rxtx]
551 if diff >= self.break_min_sample_count:
552 ss, es = self.frame_start[rxtx], self.samplenum
553 self.handle_break(rxtx, ss, es)
554 self.break_start[rxtx] = None
555
556 def inspect_idle(self, rxtx, signal, inv):
557 # Check each edge and each period of stable input (either level).
558 # Can derive the "idle frame period has passed" condition.
559 if inv:
560 signal = not signal
561 if not signal:
562 # Low input, cease inspection.
563 self.idle_start[rxtx] = None
564 return
565 # High input, either just reached, or still stable.
566 if self.idle_start[rxtx] is None:
567 self.idle_start[rxtx] = self.samplenum
568 diff = self.samplenum - self.idle_start[rxtx]
569 if diff < self.frame_len_sample_count:
570 return
571 ss, es = self.idle_start[rxtx], self.samplenum
572 self.handle_idle(rxtx, ss, es)
573 self.idle_start[rxtx] = es
574
575 def decode(self):
576 if not self.samplerate:
577 raise SamplerateError('Cannot decode without samplerate.')
578
579 has_pin = [self.has_channel(ch) for ch in (RX, TX)]
580 if not True in has_pin:
581 raise ChannelError('Need at least one of TX or RX pins.')
582
583 opt = self.options
584 inv = [opt['invert_rx'] == 'yes', opt['invert_tx'] == 'yes']
585 cond_data_idx = [None] * len(has_pin)
586
587 # Determine the number of samples for a complete frame's time span.
588 # A period of low signal (at least) that long is a break condition.
589 frame_samples = 1 # START
590 frame_samples += self.options['data_bits']
591 frame_samples += 0 if self.options['parity'] == 'none' else 1
592 frame_samples += self.options['stop_bits']
593 frame_samples *= self.bit_width
594 self.frame_len_sample_count = ceil(frame_samples)
595 self.break_min_sample_count = self.frame_len_sample_count
596 cond_edge_idx = [None] * len(has_pin)
597 cond_idle_idx = [None] * len(has_pin)
598
599 while True:
600 conds = []
601 if has_pin[RX]:
602 cond_data_idx[RX] = len(conds)
603 conds.append(self.get_wait_cond(RX, inv[RX]))
604 cond_edge_idx[RX] = len(conds)
605 conds.append({RX: 'e'})
606 cond_idle_idx[RX] = None
607 idle_cond = self.get_idle_cond(RX, inv[RX])
608 if idle_cond:
609 cond_idle_idx[RX] = len(conds)
610 conds.append(idle_cond)
611 if has_pin[TX]:
612 cond_data_idx[TX] = len(conds)
613 conds.append(self.get_wait_cond(TX, inv[TX]))
614 cond_edge_idx[TX] = len(conds)
615 conds.append({TX: 'e'})
616 cond_idle_idx[TX] = None
617 idle_cond = self.get_idle_cond(TX, inv[TX])
618 if idle_cond:
619 cond_idle_idx[TX] = len(conds)
620 conds.append(idle_cond)
621 (rx, tx) = self.wait(conds)
622 if cond_data_idx[RX] is not None and self.matched[cond_data_idx[RX]]:
623 self.inspect_sample(RX, rx, inv[RX])
624 if cond_edge_idx[RX] is not None and self.matched[cond_edge_idx[RX]]:
625 self.inspect_edge(RX, rx, inv[RX])
626 self.inspect_idle(RX, rx, inv[RX])
627 if cond_idle_idx[RX] is not None and self.matched[cond_idle_idx[RX]]:
628 self.inspect_idle(RX, rx, inv[RX])
629 if cond_data_idx[TX] is not None and self.matched[cond_data_idx[TX]]:
630 self.inspect_sample(TX, tx, inv[TX])
631 if cond_edge_idx[TX] is not None and self.matched[cond_edge_idx[TX]]:
632 self.inspect_edge(TX, tx, inv[TX])
633 self.inspect_idle(TX, tx, inv[TX])
634 if cond_idle_idx[TX] is not None and self.matched[cond_idle_idx[TX]]:
635 self.inspect_idle(TX, tx, inv[TX])