]> sigrok.org Git - libsigrok.git/blame - src/hardware/demo/api.c
demo: make frame generation (and maximum frame count) a runtime option
[libsigrok.git] / src / hardware / demo / api.c
CommitLineData
6239c175 1/*
50985c20 2 * This file is part of the libsigrok project.
6239c175
UH
3 *
4 * Copyright (C) 2010 Uwe Hermann <uwe@hermann-uwe.de>
fc96e6f8 5 * Copyright (C) 2011 Olivier Fauchon <olivier@aixmarseille.com>
c216d623 6 * Copyright (C) 2012 Alexandru Gagniuc <mr.nuke.me@gmail.com>
7a8a1aba 7 * Copyright (C) 2015 Bartosz Golaszewski <bgolaszewski@baylibre.com>
6239c175
UH
8 *
9 * This program is free software; you can redistribute it and/or modify
10 * it under the terms of the GNU General Public License as published by
11 * the Free Software Foundation; either version 2 of the License, or
12 * (at your option) any later version.
13 *
14 * This program is distributed in the hope that it will be useful,
15 * but WITHOUT ANY WARRANTY; without even the implied warranty of
16 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
17 * GNU General Public License for more details.
18 *
19 * You should have received a copy of the GNU General Public License
2ea1fdf1 20 * along with this program; if not, see <http://www.gnu.org/licenses/>.
6239c175
UH
21 */
22
6ec6c43b 23#include <config.h>
6239c175
UH
24#include <stdlib.h>
25#include <string.h>
4374219b 26#include <math.h>
c1aae900 27#include <libsigrok/libsigrok.h>
45c59c8b 28#include "libsigrok-internal.h"
ba508e22 29#include "protocol.h"
92bcedf6 30
7db90279 31#define DEFAULT_NUM_LOGIC_CHANNELS 8
b1e6eec6 32#define DEFAULT_LOGIC_PATTERN PATTERN_SIGROK
c03ed397 33
b1e6eec6 34#define DEFAULT_NUM_ANALOG_CHANNELS 4
d9251a2c 35#define DEFAULT_ANALOG_AMPLITUDE 10
85b5af06 36
77463bd3 37/* Note: No spaces allowed because of sigrok-cli. */
8b2d41ed 38static const char *logic_pattern_str[] = {
61c39f54
BV
39 "sigrok",
40 "random",
41 "incremental",
77463bd3
SA
42 "walking-one",
43 "walking-zero",
61c39f54
BV
44 "all-low",
45 "all-high",
81d53a29 46 "squid",
03733430 47 "graycode",
61c39f54
BV
48};
49
55fb76b3
UH
50static const uint32_t scanopts[] = {
51 SR_CONF_NUM_LOGIC_CHANNELS,
52 SR_CONF_NUM_ANALOG_CHANNELS,
53};
54
1e4a7cac
BV
55static const uint32_t drvopts[] = {
56 SR_CONF_DEMO_DEV,
57 SR_CONF_LOGIC_ANALYZER,
58 SR_CONF_OSCILLOSCOPE,
59};
60
390795c0 61static const uint32_t devopts[] = {
e91bb0a6 62 SR_CONF_CONTINUOUS,
5827f61b
BV
63 SR_CONF_LIMIT_SAMPLES | SR_CONF_GET | SR_CONF_SET,
64 SR_CONF_LIMIT_MSEC | SR_CONF_GET | SR_CONF_SET,
fb193945 65 SR_CONF_LIMIT_FRAMES | SR_CONF_GET | SR_CONF_SET,
390795c0 66 SR_CONF_SAMPLERATE | SR_CONF_GET | SR_CONF_SET | SR_CONF_LIST,
7a8a1aba
BG
67 SR_CONF_AVERAGING | SR_CONF_GET | SR_CONF_SET,
68 SR_CONF_AVG_SAMPLES | SR_CONF_GET | SR_CONF_SET,
390795c0
BV
69};
70
71static const uint32_t devopts_cg_logic[] = {
f12d9979 72 SR_CONF_PATTERN_MODE | SR_CONF_GET | SR_CONF_SET | SR_CONF_LIST,
49224c28
BV
73};
74
e2b99f04
SA
75static const uint32_t devopts_cg_analog_group[] = {
76 SR_CONF_AMPLITUDE | SR_CONF_GET | SR_CONF_SET,
77};
78
79static const uint32_t devopts_cg_analog_channel[] = {
f12d9979
BV
80 SR_CONF_PATTERN_MODE | SR_CONF_GET | SR_CONF_SET | SR_CONF_LIST,
81 SR_CONF_AMPLITUDE | SR_CONF_GET | SR_CONF_SET,
7a1da331
BV
82};
83
d00088ca
BV
84static const uint64_t samplerates[] = {
85 SR_HZ(1),
86 SR_GHZ(1),
87 SR_HZ(1),
4bfbf9fc
BV
88};
89
4f840ce9 90static GSList *scan(struct sr_dev_driver *di, GSList *options)
6239c175 91{
33ef7573 92 struct dev_context *devc;
c07f60e7 93 struct sr_dev_inst *sdi;
ba7dd8bb 94 struct sr_channel *ch;
49224c28 95 struct sr_channel_group *cg, *acg;
c07f60e7 96 struct sr_config *src;
8b2d41ed 97 struct analog_gen *ag;
43376f33 98 GSList *l;
ba7dd8bb
UH
99 int num_logic_channels, num_analog_channels, pattern, i;
100 char channel_name[16];
067d0716 101
3f239f08
UH
102 num_logic_channels = DEFAULT_NUM_LOGIC_CHANNELS;
103 num_analog_channels = DEFAULT_NUM_ANALOG_CHANNELS;
c07f60e7
BV
104 for (l = options; l; l = l->next) {
105 src = l->data;
106 switch (src->key) {
3f239f08 107 case SR_CONF_NUM_LOGIC_CHANNELS:
ba7dd8bb 108 num_logic_channels = g_variant_get_int32(src->data);
c07f60e7 109 break;
3f239f08 110 case SR_CONF_NUM_ANALOG_CHANNELS:
ba7dd8bb 111 num_analog_channels = g_variant_get_int32(src->data);
c07f60e7
BV
112 break;
113 }
114 }
85b5af06 115
aac29cc1 116 sdi = g_malloc0(sizeof(struct sr_dev_inst));
45884333 117 sdi->status = SR_ST_INACTIVE;
4b664cd6 118 sdi->model = g_strdup("Demo device");
e15f48c2 119
a49a320d 120 devc = g_malloc0(sizeof(struct dev_context));
8b2d41ed 121 devc->cur_samplerate = SR_KHZ(200);
ba7dd8bb
UH
122 devc->num_logic_channels = num_logic_channels;
123 devc->logic_unitsize = (devc->num_logic_channels + 7) / 8;
015f0970
GS
124 devc->all_logic_channels_mask = 1UL << 0;
125 devc->all_logic_channels_mask <<= devc->num_logic_channels;
126 devc->all_logic_channels_mask--;
b1e6eec6 127 devc->logic_pattern = DEFAULT_LOGIC_PATTERN;
ba7dd8bb 128 devc->num_analog_channels = num_analog_channels;
8b2d41ed 129
f18e0db3
LPC
130 if (num_logic_channels > 0) {
131 /* Logic channels, all in one channel group. */
132 cg = g_malloc0(sizeof(struct sr_channel_group));
133 cg->name = g_strdup("Logic");
134 for (i = 0; i < num_logic_channels; i++) {
135 sprintf(channel_name, "D%d", i);
f1c79a6a 136 ch = sr_channel_new(sdi, i, SR_CHANNEL_LOGIC, TRUE, channel_name);
f18e0db3
LPC
137 cg->channels = g_slist_append(cg->channels, ch);
138 }
139 sdi->channel_groups = g_slist_append(NULL, cg);
87ca93c5
BV
140 }
141
ba7dd8bb 142 /* Analog channels, channel groups and pattern generators. */
d91d0b12 143 devc->ch_ag = g_hash_table_new(g_direct_hash, g_direct_equal);
f18e0db3
LPC
144 if (num_analog_channels > 0) {
145 pattern = 0;
146 /* An "Analog" channel group with all analog channels in it. */
147 acg = g_malloc0(sizeof(struct sr_channel_group));
148 acg->name = g_strdup("Analog");
149 sdi->channel_groups = g_slist_append(sdi->channel_groups, acg);
150
f18e0db3
LPC
151 for (i = 0; i < num_analog_channels; i++) {
152 snprintf(channel_name, 16, "A%d", i);
153 ch = sr_channel_new(sdi, i + num_logic_channels, SR_CHANNEL_ANALOG,
f1c79a6a 154 TRUE, channel_name);
f18e0db3
LPC
155 acg->channels = g_slist_append(acg->channels, ch);
156
157 /* Every analog channel gets its own channel group as well. */
158 cg = g_malloc0(sizeof(struct sr_channel_group));
159 cg->name = g_strdup(channel_name);
160 cg->channels = g_slist_append(NULL, ch);
161 sdi->channel_groups = g_slist_append(sdi->channel_groups, cg);
162
163 /* Every channel gets a generator struct. */
164 ag = g_malloc(sizeof(struct analog_gen));
01f2adb0 165 ag->ch = ch;
f18e0db3 166 ag->amplitude = DEFAULT_ANALOG_AMPLITUDE;
3be044aa 167 sr_analog_init(&ag->packet, &ag->encoding, &ag->meaning, &ag->spec, 2);
4b770103
UH
168 ag->packet.meaning->channels = cg->channels;
169 ag->packet.meaning->mq = 0;
170 ag->packet.meaning->mqflags = 0;
171 ag->packet.meaning->unit = SR_UNIT_VOLT;
f18e0db3
LPC
172 ag->packet.data = ag->pattern_data;
173 ag->pattern = pattern;
174 ag->avg_val = 0.0f;
175 ag->num_avgs = 0;
176 g_hash_table_insert(devc->ch_ag, ch, ag);
177
178 if (++pattern == ARRAY_SIZE(analog_pattern_str))
179 pattern = 0;
180 }
33ef7573 181 }
33ef7573
JH
182
183 sdi->priv = devc;
184
43376f33 185 return std_scan_complete(di, g_slist_append(NULL, sdi));
6239c175
UH
186}
187
3553451f 188static void clear_helper(struct dev_context *devc)
ed0b7fed 189{
49224c28
BV
190 GHashTableIter iter;
191 void *value;
ed0b7fed 192
49224c28
BV
193 /* Analog generators. */
194 g_hash_table_iter_init(&iter, devc->ch_ag);
195 while (g_hash_table_iter_next(&iter, NULL, &value))
196 g_free(value);
197 g_hash_table_unref(devc->ch_ag);
ed0b7fed
BV
198}
199
6ab68731 200static int dev_clear(const struct sr_dev_driver *di)
6239c175 201{
3553451f 202 return std_dev_clear_with_callback(di, (std_dev_clear_callback)clear_helper);
6239c175
UH
203}
204
dd7a72ea
UH
205static int config_get(uint32_t key, GVariant **data,
206 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
6239c175 207{
c07f60e7 208 struct dev_context *devc;
ba7dd8bb 209 struct sr_channel *ch;
2388ae86
BV
210 struct analog_gen *ag;
211 int pattern;
6f57fd96 212
2388ae86
BV
213 if (!sdi)
214 return SR_ERR_ARG;
8f996b89 215
c07f60e7 216 devc = sdi->priv;
584560f1 217 switch (key) {
123e1313 218 case SR_CONF_SAMPLERATE:
a7684294 219 *data = g_variant_new_uint64(devc->cur_samplerate);
6239c175 220 break;
2474d87e 221 case SR_CONF_LIMIT_SAMPLES:
a7684294 222 *data = g_variant_new_uint64(devc->limit_samples);
2474d87e
BV
223 break;
224 case SR_CONF_LIMIT_MSEC:
a7684294 225 *data = g_variant_new_uint64(devc->limit_msec);
2474d87e 226 break;
fb193945
GS
227 case SR_CONF_LIMIT_FRAMES:
228 *data = g_variant_new_uint64(devc->limit_frames);
229 break;
7a8a1aba
BG
230 case SR_CONF_AVERAGING:
231 *data = g_variant_new_boolean(devc->avg);
232 break;
233 case SR_CONF_AVG_SAMPLES:
234 *data = g_variant_new_uint64(devc->avg_samples);
235 break;
2474d87e 236 case SR_CONF_PATTERN_MODE:
53b4680f 237 if (!cg)
660e398f 238 return SR_ERR_CHANNEL_GROUP;
49224c28 239 /* Any channel in the group will do. */
ba7dd8bb 240 ch = cg->channels->data;
3f239f08 241 if (ch->type == SR_CHANNEL_LOGIC) {
2388ae86
BV
242 pattern = devc->logic_pattern;
243 *data = g_variant_new_string(logic_pattern_str[pattern]);
3f239f08 244 } else if (ch->type == SR_CHANNEL_ANALOG) {
49224c28 245 ag = g_hash_table_lookup(devc->ch_ag, ch);
2388ae86
BV
246 pattern = ag->pattern;
247 *data = g_variant_new_string(analog_pattern_str[pattern]);
248 } else
249 return SR_ERR_BUG;
c07f60e7 250 break;
dddabe37
BV
251 case SR_CONF_AMPLITUDE:
252 if (!cg)
253 return SR_ERR_CHANNEL_GROUP;
49224c28 254 /* Any channel in the group will do. */
dddabe37
BV
255 ch = cg->channels->data;
256 if (ch->type != SR_CHANNEL_ANALOG)
257 return SR_ERR_ARG;
49224c28 258 ag = g_hash_table_lookup(devc->ch_ag, ch);
dddabe37
BV
259 *data = g_variant_new_double(ag->amplitude);
260 break;
7dfcf010 261 default:
bd6fbf62 262 return SR_ERR_NA;
6239c175
UH
263 }
264
dfb0fa1a 265 return SR_OK;
6239c175
UH
266}
267
dd7a72ea
UH
268static int config_set(uint32_t key, GVariant *data,
269 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
6239c175 270{
8b2d41ed 271 struct dev_context *devc;
4374219b 272 struct analog_gen *ag;
ba7dd8bb 273 struct sr_channel *ch;
49224c28 274 GSList *l;
a9010323 275 int logic_pattern, analog_pattern;
6239c175 276
8b2d41ed 277 devc = sdi->priv;
6239c175 278
584560f1 279 switch (key) {
2388ae86 280 case SR_CONF_SAMPLERATE:
a7684294 281 devc->cur_samplerate = g_variant_get_uint64(data);
2388ae86
BV
282 break;
283 case SR_CONF_LIMIT_SAMPLES:
a7684294
JH
284 devc->limit_msec = 0;
285 devc->limit_samples = g_variant_get_uint64(data);
2388ae86
BV
286 break;
287 case SR_CONF_LIMIT_MSEC:
a7684294
JH
288 devc->limit_msec = g_variant_get_uint64(data);
289 devc->limit_samples = 0;
2388ae86 290 break;
fb193945
GS
291 case SR_CONF_LIMIT_FRAMES:
292 devc->limit_frames = g_variant_get_uint64(data);
293 break;
7a8a1aba
BG
294 case SR_CONF_AVERAGING:
295 devc->avg = g_variant_get_boolean(data);
296 sr_dbg("%s averaging", devc->avg ? "Enabling" : "Disabling");
297 break;
298 case SR_CONF_AVG_SAMPLES:
299 devc->avg_samples = g_variant_get_uint64(data);
300 sr_dbg("Setting averaging rate to %" PRIu64, devc->avg_samples);
301 break;
2388ae86 302 case SR_CONF_PATTERN_MODE:
53b4680f 303 if (!cg)
660e398f 304 return SR_ERR_CHANNEL_GROUP;
697fb6dd
UH
305 logic_pattern = std_str_idx(data, ARRAY_AND_SIZE(logic_pattern_str));
306 analog_pattern = std_str_idx(data, ARRAY_AND_SIZE(analog_pattern_str));
307 if (logic_pattern < 0 && analog_pattern < 0)
49224c28
BV
308 return SR_ERR_ARG;
309 for (l = cg->channels; l; l = l->next) {
310 ch = l->data;
311 if (ch->type == SR_CHANNEL_LOGIC) {
312 if (logic_pattern == -1)
313 return SR_ERR_ARG;
314 sr_dbg("Setting logic pattern to %s",
315 logic_pattern_str[logic_pattern]);
316 devc->logic_pattern = logic_pattern;
317 /* Might as well do this now, these are static. */
318 if (logic_pattern == PATTERN_ALL_LOW)
319 memset(devc->logic_data, 0x00, LOGIC_BUFSIZE);
320 else if (logic_pattern == PATTERN_ALL_HIGH)
321 memset(devc->logic_data, 0xff, LOGIC_BUFSIZE);
322 } else if (ch->type == SR_CHANNEL_ANALOG) {
323 if (analog_pattern == -1)
324 return SR_ERR_ARG;
325 sr_dbg("Setting analog pattern for channel %s to %s",
326 ch->name, analog_pattern_str[analog_pattern]);
327 ag = g_hash_table_lookup(devc->ch_ag, ch);
328 ag->pattern = analog_pattern;
329 } else
330 return SR_ERR_BUG;
331 }
2388ae86 332 break;
dddabe37
BV
333 case SR_CONF_AMPLITUDE:
334 if (!cg)
335 return SR_ERR_CHANNEL_GROUP;
49224c28
BV
336 for (l = cg->channels; l; l = l->next) {
337 ch = l->data;
338 if (ch->type != SR_CHANNEL_ANALOG)
339 return SR_ERR_ARG;
340 ag = g_hash_table_lookup(devc->ch_ag, ch);
341 ag->amplitude = g_variant_get_double(data);
342 }
dddabe37 343 break;
2388ae86 344 default:
a9010323 345 return SR_ERR_NA;
6239c175
UH
346 }
347
a9010323 348 return SR_OK;
6239c175
UH
349}
350
dd7a72ea
UH
351static int config_list(uint32_t key, GVariant **data,
352 const struct sr_dev_inst *sdi, const struct sr_channel_group *cg)
a1c743fc 353{
ba7dd8bb 354 struct sr_channel *ch;
a1c743fc 355
53b4680f 356 if (!cg) {
7a1da331 357 switch (key) {
e66d1892 358 case SR_CONF_SCAN_OPTIONS:
7a1da331 359 case SR_CONF_DEVICE_OPTIONS:
e66d1892 360 return STD_CONFIG_LIST(key, data, sdi, cg, scanopts, drvopts, devopts);
7a1da331 361 case SR_CONF_SAMPLERATE:
53012da6 362 *data = std_gvar_samplerates_steps(ARRAY_AND_SIZE(samplerates));
7a1da331
BV
363 break;
364 default:
365 return SR_ERR_NA;
366 }
367 } else {
ba7dd8bb 368 ch = cg->channels->data;
7a1da331
BV
369 switch (key) {
370 case SR_CONF_DEVICE_OPTIONS:
49224c28 371 if (ch->type == SR_CHANNEL_LOGIC)
53012da6 372 *data = std_gvar_array_u32(ARRAY_AND_SIZE(devopts_cg_logic));
e2b99f04
SA
373 else if (ch->type == SR_CHANNEL_ANALOG) {
374 if (strcmp(cg->name, "Analog") == 0)
53012da6 375 *data = std_gvar_array_u32(ARRAY_AND_SIZE(devopts_cg_analog_group));
e2b99f04 376 else
53012da6 377 *data = std_gvar_array_u32(ARRAY_AND_SIZE(devopts_cg_analog_channel));
e2b99f04 378 }
49224c28
BV
379 else
380 return SR_ERR_BUG;
7a1da331
BV
381 break;
382 case SR_CONF_PATTERN_MODE:
e2b99f04
SA
383 /* The analog group (with all 4 channels) shall not have a pattern property. */
384 if (strcmp(cg->name, "Analog") == 0)
385 return SR_ERR_NA;
386
3f239f08 387 if (ch->type == SR_CHANNEL_LOGIC)
53012da6 388 *data = g_variant_new_strv(ARRAY_AND_SIZE(logic_pattern_str));
3f239f08 389 else if (ch->type == SR_CHANNEL_ANALOG)
53012da6 390 *data = g_variant_new_strv(ARRAY_AND_SIZE(analog_pattern_str));
2388ae86
BV
391 else
392 return SR_ERR_BUG;
7a1da331
BV
393 break;
394 default:
395 return SR_ERR_NA;
396 }
a1c743fc
BV
397 }
398
399 return SR_OK;
400}
401
695dc859 402static int dev_acquisition_start(const struct sr_dev_inst *sdi)
6239c175 403{
61c39f54 404 struct dev_context *devc;
1b7b72d4
GS
405 GSList *l;
406 struct sr_channel *ch;
4a465510
GS
407 int bitpos;
408 uint8_t mask;
49224c28
BV
409 GHashTableIter iter;
410 void *value;
85b5af06 411
61c39f54 412 devc = sdi->priv;
a49a320d 413 devc->sent_samples = 0;
767ca135 414 devc->sent_frame_samples = 0;
85b5af06 415
4a465510
GS
416 /*
417 * Determine the numbers of logic and analog channels that are
418 * involved in the acquisition. Determine an offset and a mask to
419 * remove excess logic data content before datafeed submission.
420 */
1b7b72d4
GS
421 devc->enabled_logic_channels = 0;
422 devc->enabled_analog_channels = 0;
423 for (l = sdi->channels; l; l = l->next) {
424 ch = l->data;
425 if (!ch->enabled)
426 continue;
427 if (ch->type == SR_CHANNEL_ANALOG) {
428 devc->enabled_analog_channels++;
429 continue;
430 }
4a465510 431 if (ch->type != SR_CHANNEL_LOGIC)
1b7b72d4 432 continue;
4a465510
GS
433 /*
434 * TODO: Need we create a channel map here, such that the
435 * session datafeed packets will have a dense representation
436 * of the enabled channels' data? For example store channels
437 * D3 and D5 in bit positions 0 and 1 respectively, when all
438 * other channels are disabled? The current implementation
439 * generates a sparse layout, might provide data for logic
440 * channels that are disabled while it might suppress data
441 * from enabled channels at the same time.
442 */
443 devc->enabled_logic_channels++;
1b7b72d4 444 }
4a465510
GS
445 devc->first_partial_logic_index = devc->enabled_logic_channels / 8;
446 bitpos = devc->enabled_logic_channels % 8;
447 mask = (1 << bitpos) - 1;
448 devc->first_partial_logic_mask = mask;
91057d2f
UH
449 sr_dbg("num logic %zu, partial off %zu, mask 0x%02x.",
450 devc->enabled_logic_channels,
4a465510
GS
451 devc->first_partial_logic_index,
452 devc->first_partial_logic_mask);
453
454 /*
455 * Have the waveform for analog patterns pre-generated. It's
456 * supposed to be periodic, so the generator just needs to
457 * access the prepared sample data (DDS style).
458 */
49224c28
BV
459 g_hash_table_iter_init(&iter, devc->ch_ag);
460 while (g_hash_table_iter_next(&iter, NULL, &value))
ba508e22 461 demo_generate_analog_pattern(value, devc->cur_samplerate);
4374219b 462
98c01fe1 463 sr_session_source_add(sdi->session, -1, 0, 100,
ba508e22 464 demo_prepare_data, (struct sr_dev_inst *)sdi);
85b5af06 465
bee2b016 466 std_session_send_df_header(sdi);
f366e86c 467
fb193945 468 if (devc->limit_frames > 0)
f55bea76
SA
469 std_session_send_frame_begin(sdi);
470
3b203673 471 /* We use this timestamp to decide how many more samples to send. */
a49a320d
DE
472 devc->start_us = g_get_monotonic_time();
473 devc->spent_us = 0;
471ac344 474 devc->step = 0;
3b203673 475
e46b8fb1 476 return SR_OK;
6239c175
UH
477}
478
695dc859 479static int dev_acquisition_stop(struct sr_dev_inst *sdi)
6239c175 480{
fb193945
GS
481 struct dev_context *devc;
482
027bf077 483 sr_session_source_remove(sdi->session, -1);
f55bea76 484
fb193945
GS
485 devc = sdi->priv;
486 if (devc->limit_frames > 0)
f55bea76
SA
487 std_session_send_frame_end(sdi);
488
bee2b016 489 std_session_send_df_end(sdi);
7fd3e859 490
3010f21c 491 return SR_OK;
6239c175
UH
492}
493
dd5c48a6 494static struct sr_dev_driver demo_driver_info = {
e519ba86
UH
495 .name = "demo",
496 .longname = "Demo driver and pattern generator",
497 .api_version = 1,
c2fdcc25 498 .init = std_init,
700d6b64 499 .cleanup = std_cleanup,
6078d2c9 500 .scan = scan,
c01bf34c 501 .dev_list = std_dev_list,
6ab68731 502 .dev_clear = dev_clear,
035a1078
BV
503 .config_get = config_get,
504 .config_set = config_set,
a1c743fc 505 .config_list = config_list,
4d67b9d9
UH
506 .dev_open = std_dummy_dev_open,
507 .dev_close = std_dummy_dev_close,
6078d2c9
UH
508 .dev_acquisition_start = dev_acquisition_start,
509 .dev_acquisition_stop = dev_acquisition_stop,
41812aca 510 .context = NULL,
6239c175 511};
dd5c48a6 512SR_REGISTER_DEV_DRIVER(demo_driver_info);