]> sigrok.org Git - sigrok-dumps.git/blob - misc/vcd/vectors-integers.vcd
misc/vcd: introduce VCD example files with not yet supported features
[sigrok-dumps.git] / misc / vcd / vectors-integers.vcd
1 $comment
2         provided by user Cerpin via IRC on 2019-10-27
3           per download link http://0x0.st/zYZS.vcd
4         demonstrates: bit vectors, multi-bit integers,
5           nested modules, identical names for several VCD
6           variables, results in some 520 sigrok channels
7 $end
8 $date
9         Sat Oct 26 18:25:04 2019
10 $end
11 $version
12         Icarus Verilog
13 $end
14 $timescale
15         1s
16 $end
17 $scope module tb_uwam_psf2 $end
18 $var wire 80 ! tssamp_o [79:0] $end
19 $var reg 1 " bit_i $end
20 $var reg 1 # clk_i $end
21 $var reg 1 $ rst_i $end
22 $var integer 32 % i [31:0] $end
23 $scope module dut $end
24 $var wire 1 " bit_i $end
25 $var wire 1 # clk_i $end
26 $var wire 1 $ rst_i $end
27 $var wire 80 & tssamp_o [79:0] $end
28 $var reg 6 ' period_count [5:0] $end
29 $var integer 32 ( i [31:0] $end
30 $scope begin cmpacc[0] $end
31 $scope module psf_node $end
32 $var wire 1 " bit_i $end
33 $var wire 1 # clk_i $end
34 $var wire 1 $ rst_i $end
35 $var wire 8 ) tap_i [7:0] $end
36 $var wire 8 * tapcoeff_i [7:0] $end
37 $var reg 8 + samp [7:0] $end
38 $var reg 8 , tap_o [7:0] $end
39 $upscope $end
40 $upscope $end
41 $scope begin cmpacc[1] $end
42 $scope module psf_node $end
43 $var wire 1 " bit_i $end
44 $var wire 1 # clk_i $end
45 $var wire 1 $ rst_i $end
46 $var wire 8 - tap_i [7:0] $end
47 $var wire 8 . tapcoeff_i [7:0] $end
48 $var reg 8 / samp [7:0] $end
49 $var reg 8 0 tap_o [7:0] $end
50 $upscope $end
51 $upscope $end
52 $scope begin cmpacc[2] $end
53 $scope module psf_node $end
54 $var wire 1 " bit_i $end
55 $var wire 1 # clk_i $end
56 $var wire 1 $ rst_i $end
57 $var wire 8 1 tap_i [7:0] $end
58 $var wire 8 2 tapcoeff_i [7:0] $end
59 $var reg 8 3 samp [7:0] $end
60 $var reg 8 4 tap_o [7:0] $end
61 $upscope $end
62 $upscope $end
63 $scope begin cmpacc[3] $end
64 $scope module psf_node $end
65 $var wire 1 " bit_i $end
66 $var wire 1 # clk_i $end
67 $var wire 1 $ rst_i $end
68 $var wire 8 5 tap_i [7:0] $end
69 $var wire 8 6 tapcoeff_i [7:0] $end
70 $var reg 8 7 samp [7:0] $end
71 $var reg 8 8 tap_o [7:0] $end
72 $upscope $end
73 $upscope $end
74 $scope begin cmpacc[4] $end
75 $scope module psf_node $end
76 $var wire 1 " bit_i $end
77 $var wire 1 # clk_i $end
78 $var wire 1 $ rst_i $end
79 $var wire 8 9 tap_i [7:0] $end
80 $var wire 8 : tapcoeff_i [7:0] $end
81 $var reg 8 ; samp [7:0] $end
82 $var reg 8 < tap_o [7:0] $end
83 $upscope $end
84 $upscope $end
85 $scope begin cmpacc[5] $end
86 $scope module psf_node $end
87 $var wire 1 " bit_i $end
88 $var wire 1 # clk_i $end
89 $var wire 1 $ rst_i $end
90 $var wire 8 = tap_i [7:0] $end
91 $var wire 8 > tapcoeff_i [7:0] $end
92 $var reg 8 ? samp [7:0] $end
93 $var reg 8 @ tap_o [7:0] $end
94 $upscope $end
95 $upscope $end
96 $scope begin cmpacc[6] $end
97 $scope module psf_node $end
98 $var wire 1 " bit_i $end
99 $var wire 1 # clk_i $end
100 $var wire 1 $ rst_i $end
101 $var wire 8 A tap_i [7:0] $end
102 $var wire 8 B tapcoeff_i [7:0] $end
103 $var reg 8 C samp [7:0] $end
104 $var reg 8 D tap_o [7:0] $end
105 $upscope $end
106 $upscope $end
107 $scope begin cmpacc[7] $end
108 $scope module psf_node $end
109 $var wire 1 " bit_i $end
110 $var wire 1 # clk_i $end
111 $var wire 1 $ rst_i $end
112 $var wire 8 E tap_i [7:0] $end
113 $var wire 8 F tapcoeff_i [7:0] $end
114 $var reg 8 G samp [7:0] $end
115 $var reg 8 H tap_o [7:0] $end
116 $upscope $end
117 $upscope $end
118 $scope begin cmpacc[8] $end
119 $scope module psf_node $end
120 $var wire 1 " bit_i $end
121 $var wire 1 # clk_i $end
122 $var wire 1 $ rst_i $end
123 $var wire 8 I tap_i [7:0] $end
124 $var wire 8 J tapcoeff_i [7:0] $end
125 $var reg 8 K samp [7:0] $end
126 $var reg 8 L tap_o [7:0] $end
127 $upscope $end
128 $upscope $end
129 $scope begin cmpacc[9] $end
130 $scope module psf_node $end
131 $var wire 1 " bit_i $end
132 $var wire 1 # clk_i $end
133 $var wire 1 $ rst_i $end
134 $var wire 8 M tap_i [7:0] $end
135 $var wire 8 N tapcoeff_i [7:0] $end
136 $var reg 8 O samp [7:0] $end
137 $var reg 8 P tap_o [7:0] $end
138 $upscope $end
139 $upscope $end
140 $upscope $end
141 $upscope $end
142 $enddefinitions $end
143 #0
144 $dumpvars
145 bx P
146 bx O
147 bx N
148 b0 M
149 bx L
150 bx K
151 bx J
152 b0 I
153 bx H
154 bx G
155 bx F
156 b0 E
157 bx D
158 bx C
159 bx B
160 b0 A
161 bx @
162 bx ?
163 bx >
164 b0 =
165 bx <
166 bx ;
167 bx :
168 b0 9
169 bx 8
170 bx 7
171 bx 6
172 b0 5
173 bx 4
174 bx 3
175 bx 2
176 b0 1
177 bx 0
178 bx /
179 bx .
180 b0 -
181 bx ,
182 bx +
183 bx *
184 b0 )
185 b1010 (
186 bx '
187 bx &
188 bx %
189 1$
190 0#
191 x"
192 bx !
193 $end
194 #2
195 b1010 (
196 b0 ,
197 b0 0
198 b0 4
199 b0 8
200 b0 <
201 b0 @
202 b0 D
203 b0 H
204 b0 L
205 b0 !
206 b0 &
207 b0 P
208 b100000 '
209 1#
210 #4
211 0#
212 #6
213 1#
214 #8
215 b11111110 +
216 b11111101 /
217 b11111101 3
218 b11111101 7
219 b11111101 ;
220 b11111110 ?
221 b11111111 C
222 b0 G
223 b10000001 K
224 b10000001 O
225 b1111111 N
226 b1111111 J
227 b0 F
228 b1 B
229 b10 >
230 b11 :
231 b11 6
232 b11 2
233 b11 .
234 b10 *
235 b1010 (
236 0"
237 b0 %
238 0$
239 0#
240 #10
241 b11111111 O
242 b10000010 G
243 b10000100 C
244 b10000110 ?
245 b10000111 ;
246 b10001000 7
247 b10000111 3
248 b10000110 /
249 b10000011 +
250 b1 N
251 b1 I
252 b1111110 F
253 b1 E
254 b1111100 B
255 b1 A
256 b1111010 >
257 b1 =
258 b1111001 :
259 b1 9
260 b1111000 6
261 b1 5
262 b1111001 2
263 b1 1
264 b1111010 .
265 b1 -
266 b1111101 *
267 b1010 (
268 b11111110 ,
269 b11111101 0
270 b11111101 4
271 b11111101 8
272 b11111101 <
273 b11111110 @
274 b11111111 D
275 b10000001 L
276 b10000001100000010000000011111111111111101111110111111101111111011111110111111110 !
277 b10000001100000010000000011111111111111101111110111111101111111011111110111111110 &
278 b10000001 P
279 b10000 '
280 1#
281 #12
282 b1111101 +
283 b1111010 /
284 b1111001 3
285 b1111000 7
286 b1111001 ;
287 b1111010 ?
288 b1111100 C
289 b1111110 G
290 b1111111 K
291 b1 O
292 1"
293 b1 %
294 0#
295 #14
296 b110 K
297 b1100 G
298 b10010 C
299 b11000 ?
300 b11110 ;
301 b100011 7
302 b100111 3
303 b101010 /
304 b101011 +
305 b1 M
306 b110 J
307 b1100 F
308 b0 E
309 b10010 B
310 b11000 >
311 b11110 :
312 b100011 6
313 b100111 2
314 b101010 .
315 b0 -
316 b101011 *
317 b1 )
318 b1010 (
319 b1000 '
320 b1 P
321 b10000000 L
322 b1111111 H
323 b1111101 D
324 b1111011 @
325 b1111010 <
326 b1111001 8
327 b1111010 4
328 b1111011 0
329 b1100000000111111101111101011110110111101001111001011110100111101101111101 !
330 b1100000000111111101111101011110110111101001111001011110100111101101111101 &
331 b1111101 ,
332 1#
333 #16
334 b11010101 +
335 b11010110 /
336 b11011001 3
337 b11011101 7
338 b11100010 ;
339 b11101000 ?
340 b11101110 C
341 b11110100 G
342 b11111010 K
343 b11111111 O
344 0"
345 b10 %
346 0#
347 #18
348 b11010110 O
349 b11011001 K
350 b11011101 G
351 b11100010 C
352 b11101110 ;
353 b11110100 7
354 b11111010 3
355 b11111111 /
356 b10000011 +
357 b101010 N
358 b100111 J
359 b0 I
360 b100011 F
361 b1 E
362 b11110 B
363 b0 =
364 b10010 :
365 b1100 6
366 b0 5
367 b110 2
368 b1 .
369 b1 -
370 b1111101 *
371 b0 )
372 b1010 (
373 b11010110 ,
374 b11010110 0
375 b11011010 4
376 b11011110 8
377 b11100011 <
378 b11101001 @
379 b11101111 D
380 b11110100 H
381 b11111011 L
382 b111110111111010011101111111010011110001111011110110110101101011011010110 !
383 b111110111111010011101111111010011110001111011110110110101101011011010110 &
384 b0 P
385 b100 '
386 1#
387 #20
388 b1111101 +
389 b1 /
390 b110 3
391 b1100 7
392 b10010 ;
393 b11000 ?
394 b11110 C
395 b100011 G
396 b100111 K
397 b101010 O
398 1"
399 b11 %
400 0#
401 #22
402 b1111010 O
403 b1111001 K
404 b1111000 G
405 b1111001 C
406 b1111010 ?
407 b1111100 ;
408 b1111110 7
409 b1111111 3
410 b10 +
411 b1111010 N
412 b1111001 J
413 b1111000 F
414 b0 E
415 b1111001 B
416 b1111010 >
417 b1 =
418 b1111100 :
419 b1111110 6
420 b1 5
421 b1111111 2
422 b0 -
423 b10 *
424 b1 )
425 b1010 (
426 b10 '
427 b101011 P
428 b100111 L
429 b100100 H
430 b11111 D
431 b11000 @
432 b10011 <
433 b1100 8
434 b111 4
435 b10 0
436 b101011001001110010010000011111000110000001001100001100000001110000001001111101 !
437 b101011001001110010010000011111000110000001001100001100000001110000001001111101 &
438 b1111101 ,
439 1#
440 #24
441 b100 %
442 0#
443 #26
444 b11 O
445 b11 K
446 b11 G
447 b11 C
448 b10 ?
449 b1 ;
450 b0 7
451 b1111111 /
452 b1111111 +
453 b11 N
454 b0 M
455 b11 J
456 b1 I
457 b11 F
458 b11 B
459 b0 A
460 b10 >
461 b0 =
462 b1 :
463 b0 9
464 b0 6
465 b0 5
466 b0 1
467 b1111111 .
468 b1 -
469 b1111111 *
470 b1010 (
471 b11 ,
472 b1 0
473 b10000000 4
474 b1111111 8
475 b1111101 <
476 b1111011 @
477 b1111010 D
478 b1111000 H
479 b1111001 L
480 b1111011011110010111100001111010011110110111110101111111100000000000000100000011 !
481 b1111011011110010111100001111010011110110111110101111111100000000000000100000011 &
482 b1111011 P
483 b1 '
484 1#
485 #28
486 b101 %
487 0#
488 #30
489 b1111111 O
490 b1111111 K
491 b0 G
492 b1 C
493 b11 ;
494 b11 7
495 b11 3
496 b11 /
497 b10 +
498 b1111111 N
499 b1111111 J
500 b0 I
501 b0 F
502 b1 E
503 b1 B
504 b11 :
505 b11 6
506 b11 2
507 b11 .
508 b0 -
509 b10 *
510 b0 )
511 b1010 (
512 b100000 '
513 b11 P
514 b100 L
515 b11 H
516 b11 D
517 b10 @
518 b1 <
519 b0 8
520 b1111111 4
521 b10000000 0
522 b11000001000000001100000011000000100000000100000000011111111000000010000000 !
523 b11000001000000001100000011000000100000000100000000011111111000000010000000 &
524 b10000000 ,
525 1#
526 #32
527 b110 %
528 0#
529 #34
530 b1 O
531 b1111110 G
532 b1111100 C
533 b1111010 ?
534 b1111001 ;
535 b1111000 7
536 b1111001 3
537 b1111010 /
538 b1111101 +
539 b1 N
540 b1 M
541 b1 I
542 b1111110 F
543 b0 E
544 b1111100 B
545 b1111010 >
546 b1111001 :
547 b1111000 6
548 b1111001 2
549 b1111010 .
550 b1 -
551 b1111101 *
552 b1010 (
553 b10 ,
554 b11 0
555 b11 4
556 b11 8
557 b11 <
558 b1 D
559 b1 H
560 b1111111 L
561 b1111111011111110000000100000001000000100000001100000011000000110000001100000010 !
562 b1111111011111110000000100000001000000100000001100000011000000110000001100000010 &
563 b1111111 P
564 b10000 '
565 1#
566 #36
567 b111 %
568 0#
569 #38
570 b110 K
571 b1100 G
572 b10010 C
573 b11000 ?
574 b11110 ;
575 b100011 7
576 b100111 3
577 b101010 /
578 b101011 +
579 b110 J
580 b1100 F
581 b10010 B
582 b1 A
583 b11000 >
584 b1 =
585 b11110 :
586 b1 9
587 b100011 6
588 b1 5
589 b100111 2
590 b1 1
591 b101010 .
592 b0 -
593 b101011 *
594 b1 )
595 b1010 (
596 b1000 '
597 b10 P
598 b10000000 L
599 b1111110 H
600 b1111100 D
601 b1111010 @
602 b1111001 <
603 b1111000 8
604 b1111001 4
605 b1111011 0
606 b10100000000111111001111100011110100111100101111000011110010111101101111101 !
607 b10100000000111111001111100011110100111100101111000011110010111101101111101 &
608 b1111101 ,
609 1#
610 #40
611 b11010101 +
612 b11010110 /
613 b11011001 3
614 b11011101 7
615 b11100010 ;
616 b11101000 ?
617 b11101110 C
618 b11110100 G
619 b11111010 K
620 b11111111 O
621 0"
622 b1000 %
623 0#
624 #42
625 b11010110 O
626 b11011001 K
627 b11011101 G
628 b11100010 C
629 b11101110 ;
630 b11110100 7
631 b11111010 3
632 b11111111 /
633 b10000011 +
634 b101010 N
635 b100111 J
636 b0 I
637 b100011 F
638 b1 E
639 b11110 B
640 b0 =
641 b10010 :
642 b1100 6
643 b0 5
644 b110 2
645 b1 .
646 b1 -
647 b1111101 *
648 b0 )
649 b1010 (
650 b11010110 ,
651 b11010110 0
652 b11011010 4
653 b11011110 8
654 b11100011 <
655 b11101001 @
656 b11101111 D
657 b11110100 H
658 b11111011 L
659 b111110111111010011101111111010011110001111011110110110101101011011010110 !
660 b111110111111010011101111111010011110001111011110110110101101011011010110 &
661 b0 P
662 b100 '
663 1#
664 #44
665 b1001 %
666 0#
667 #46
668 b10000110 O
669 b10000111 K
670 b10001000 G
671 b10000111 C
672 b10000110 ?
673 b10000100 ;
674 b10000010 7
675 b10000001 3
676 b11111110 +
677 b1111010 N
678 b0 M
679 b1111001 J
680 b1111000 F
681 b1111001 B
682 b0 A
683 b1111010 >
684 b1111100 :
685 b0 9
686 b1111110 6
687 b1111111 2
688 b0 1
689 b10 *
690 b1 )
691 b1010 (
692 b10 '
693 b11010111 P
694 b11011001 L
695 b11011110 H
696 b11100011 D
697 b11101000 @
698 b11101111 <
699 b11110100 8
700 b11111011 4
701 b0 0
702 b11010111110110011101111011100011111010001110111111110100111110110000000010000011 !
703 b11010111110110011101111011100011111010001110111111110100111110110000000010000011 &
704 b10000011 ,
705 1#
706 #48
707 b1010 %
708 0#
709 #50
710 b11111101 O
711 b11111101 K
712 b11111101 G
713 b11111101 C
714 b11111110 ?
715 b11111111 ;
716 b0 7
717 b10000001 /
718 b10000001 +
719 b11 N
720 b11 J
721 b11 F
722 b11 B
723 b1 A
724 b10 >
725 b1 =
726 b1 :
727 b1 9
728 b0 6
729 b1 5
730 b1 1
731 b1111111 .
732 b1111111 *
733 b1010 (
734 b11111111 ,
735 b10000001 4
736 b10000010 8
737 b10000100 <
738 b10000110 @
739 b10000111 D
740 b10001001 H
741 b10000111 L
742 b10000110100001111000100110000111100001101000010010000010100000010000000011111111 !
743 b10000110100001111000100110000111100001101000010010000010100000010000000011111111 &
744 b10000110 P
745 b1 '
746 1#
747 #52
748 b1011 %
749 0#
750 #54
751 b10000001 O
752 b10000001 K
753 b0 G
754 b11111111 C
755 b11111101 ;
756 b11111101 7
757 b11111101 3
758 b11111101 /
759 b11111110 +
760 b1111111 N
761 b1 M
762 b1111111 J
763 b0 F
764 b1 B
765 b0 A
766 b0 =
767 b11 :
768 b0 9
769 b11 6
770 b0 5
771 b11 2
772 b0 1
773 b11 .
774 b10 *
775 b0 )
776 b1010 (
777 b100000 '
778 b11111101 P
779 b11111101 L
780 b11111110 H
781 b11111110 D
782 b11111111 @
783 b0 <
784 b1 8
785 b10000010 4
786 b10000010 0
787 b11111101111111011111111011111110111111110000000000000001100000101000001010000010 !
788 b11111101111111011111111011111110111111110000000000000001100000101000001010000010 &
789 b10000010 ,
790 1#
791 #56
792 b10 +
793 b11 /
794 b11 3
795 b11 7
796 b11 ;
797 b10 ?
798 b1 C
799 b1111111 K
800 b1111111 O
801 1"
802 b1100 %
803 0#
804 #58
805 b1 O
806 b1111110 G
807 b1111100 C
808 b1111010 ?
809 b1111001 ;
810 b1111000 7
811 b1111001 3
812 b1111010 /
813 b1111101 +
814 b1 N
815 b0 M
816 b1111110 F
817 b0 E
818 b1111100 B
819 b1111010 >
820 b1111001 :
821 b1111000 6
822 b1111001 2
823 b1111010 .
824 b1111101 *
825 b1010 (
826 b10 ,
827 b100 0
828 b11 4
829 b11 8
830 b11 <
831 b10 @
832 b1 D
833 b1 H
834 b1111111 L
835 b10000000011111110000000100000001000000100000001100000011000000110000010000000010 !
836 b10000000011111110000000100000001000000100000001100000011000000110000010000000010 &
837 b10000000 P
838 b10000 '
839 1#
840 #60
841 b1101 %
842 0#
843 #62
844 b110 K
845 b1100 G
846 b10010 C
847 b11000 ?
848 b11110 ;
849 b100011 7
850 b100111 3
851 b101010 /
852 b101011 +
853 b1 M
854 b110 J
855 b1 I
856 b1100 F
857 b10010 B
858 b1 A
859 b11000 >
860 b1 =
861 b11110 :
862 b1 9
863 b100011 6
864 b1 5
865 b100111 2
866 b1 1
867 b101010 .
868 b0 -
869 b101011 *
870 b1 )
871 b1010 (
872 b1000 '
873 b1 P
874 b1111110 H
875 b1111100 D
876 b1111010 @
877 b1111001 <
878 b1111000 8
879 b1111001 4
880 b1111011 0
881 b1011111110111111001111100011110100111100101111000011110010111101101111101 !
882 b1011111110111111001111100011110100111100101111000011110010111101101111101 &
883 b1111101 ,
884 1#
885 #64
886 b11010101 +
887 b11010110 /
888 b11011001 3
889 b11011101 7
890 b11100010 ;
891 b11101000 ?
892 b11101110 C
893 b11110100 G
894 b11111010 K
895 b11111111 O
896 0"
897 b1110 %
898 0#
899 #66
900 b11010110 O
901 b11011001 K
902 b11011101 G
903 b11100010 C
904 b11101110 ;
905 b11110100 7
906 b11111010 3
907 b11111111 /
908 b10000011 +
909 b101010 N
910 b100111 J
911 b0 I
912 b100011 F
913 b1 E
914 b11110 B
915 b0 =
916 b10010 :
917 b1100 6
918 b0 5
919 b110 2
920 b1 .
921 b1 -
922 b1111101 *
923 b0 )
924 b1010 (
925 b11010110 ,
926 b11010110 0
927 b11011010 4
928 b11011110 8
929 b11100011 <
930 b11101001 @
931 b11101111 D
932 b11110100 H
933 b11111011 L
934 b111110111111010011101111111010011110001111011110110110101101011011010110 !
935 b111110111111010011101111111010011110001111011110110110101101011011010110 &
936 b0 P
937 b100 '
938 1#
939 #68
940 b1111 %
941 0#
942 #70
943 b10000110 O
944 b10000111 K
945 b10001000 G
946 b10000111 C
947 b10000110 ?
948 b10000100 ;
949 b10000010 7
950 b10000001 3
951 b11111110 +
952 b1111010 N
953 b0 M
954 b1111001 J
955 b1111000 F
956 b1111001 B
957 b0 A
958 b1111010 >
959 b1111100 :
960 b0 9
961 b1111110 6
962 b1111111 2
963 b0 1
964 b10 *
965 b1 )
966 b1010 (
967 b10 '
968 b11010111 P
969 b11011001 L
970 b11011110 H
971 b11100011 D
972 b11101000 @
973 b11101111 <
974 b11110100 8
975 b11111011 4
976 b0 0
977 b11010111110110011101111011100011111010001110111111110100111110110000000010000011 !
978 b11010111110110011101111011100011111010001110111111110100111110110000000010000011 &
979 b10000011 ,
980 1#
981 #72
982 b10000 %
983 0#
984 #74
985 b11111101 O
986 b11111101 K
987 b11111101 G
988 b11111101 C
989 b11111110 ?
990 b11111111 ;
991 b0 7
992 b10000001 /
993 b10000001 +
994 b11 N
995 b11 J
996 b11 F
997 b11 B
998 b1 A
999 b10 >
1000 b1 =
1001 b1 :
1002 b1 9
1003 b0 6
1004 b1 5
1005 b1 1
1006 b1111111 .
1007 b1111111 *
1008 b1010 (
1009 b11111111 ,
1010 b10000001 4
1011 b10000010 8
1012 b10000100 <
1013 b10000110 @
1014 b10000111 D
1015 b10001001 H
1016 b10000111 L
1017 b10000110100001111000100110000111100001101000010010000010100000010000000011111111 !
1018 b10000110100001111000100110000111100001101000010010000010100000010000000011111111 &
1019 b10000110 P
1020 b1 '
1021 1#
1022 #76
1023 b10001 %
1024 0#
1025 #78
1026 b10000001 O
1027 b10000001 K
1028 b0 G
1029 b11111111 C
1030 b11111101 ;
1031 b11111101 7
1032 b11111101 3
1033 b11111101 /
1034 b11111110 +
1035 b1111111 N
1036 b1 M
1037 b1111111 J
1038 b0 F
1039 b1 B
1040 b0 A
1041 b0 =
1042 b11 :
1043 b0 9
1044 b11 6
1045 b0 5
1046 b11 2
1047 b0 1
1048 b11 .
1049 b10 *
1050 b0 )
1051 b1010 (
1052 b100000 '
1053 b11111101 P
1054 b11111101 L
1055 b11111110 H
1056 b11111110 D
1057 b11111111 @
1058 b0 <
1059 b1 8
1060 b10000010 4
1061 b10000010 0
1062 b11111101111111011111111011111110111111110000000000000001100000101000001010000010 !
1063 b11111101111111011111111011111110111111110000000000000001100000101000001010000010 &
1064 b10000010 ,
1065 1#
1066 #80
1067 b10 +
1068 b11 /
1069 b11 3
1070 b11 7
1071 b11 ;
1072 b10 ?
1073 b1 C
1074 b1111111 K
1075 b1111111 O
1076 1"
1077 b10010 %
1078 0#
1079 #82
1080 b1 O
1081 b1111110 G
1082 b1111100 C
1083 b1111010 ?
1084 b1111001 ;
1085 b1111000 7
1086 b1111001 3
1087 b1111010 /
1088 b1111101 +
1089 b1 N
1090 b0 M
1091 b1111110 F
1092 b0 E
1093 b1111100 B
1094 b1111010 >
1095 b1111001 :
1096 b1111000 6
1097 b1111001 2
1098 b1111010 .
1099 b1111101 *
1100 b1010 (
1101 b10 ,
1102 b100 0
1103 b11 4
1104 b11 8
1105 b11 <
1106 b10 @
1107 b1 D
1108 b1 H
1109 b1111111 L
1110 b10000000011111110000000100000001000000100000001100000011000000110000010000000010 !
1111 b10000000011111110000000100000001000000100000001100000011000000110000010000000010 &
1112 b10000000 P
1113 b10000 '
1114 1#
1115 #84
1116 b10011 %
1117 0#
1118 #86
1119 b110 K
1120 b1100 G
1121 b10010 C
1122 b11000 ?
1123 b11110 ;
1124 b100011 7
1125 b100111 3
1126 b101010 /
1127 b101011 +
1128 b1 M
1129 b110 J
1130 b1 I
1131 b1100 F
1132 b10010 B
1133 b1 A
1134 b11000 >
1135 b1 =
1136 b11110 :
1137 b1 9
1138 b100011 6
1139 b1 5
1140 b100111 2
1141 b1 1
1142 b101010 .
1143 b0 -
1144 b101011 *
1145 b1 )
1146 b1010 (
1147 b1000 '
1148 b1 P
1149 b1111110 H
1150 b1111100 D
1151 b1111010 @
1152 b1111001 <
1153 b1111000 8
1154 b1111001 4
1155 b1111011 0
1156 b1011111110111111001111100011110100111100101111000011110010111101101111101 !
1157 b1011111110111111001111100011110100111100101111000011110010111101101111101 &
1158 b1111101 ,
1159 1#
1160 #88
1161 b10100 %
1162 0#