]> sigrok.org Git - libsigrok.git/blob - src/lcr/es51919.c
output/csv: use intermediate time_t var, silence compiler warning
[libsigrok.git] / src / lcr / es51919.c
1 /*
2  * This file is part of the libsigrok project.
3  *
4  * Copyright (C) 2014 Janne Huttunen <jahuttun@gmail.com>
5  *
6  * This program is free software: you can redistribute it and/or modify
7  * it under the terms of the GNU General Public License as published by
8  * the Free Software Foundation, either version 3 of the License, or
9  * (at your option) any later version.
10  *
11  * This program is distributed in the hope that it will be useful,
12  * but WITHOUT ANY WARRANTY; without even the implied warranty of
13  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
14  * GNU General Public License for more details.
15  *
16  * You should have received a copy of the GNU General Public License
17  * along with this program.  If not, see <http://www.gnu.org/licenses/>.
18  */
19
20 #include <config.h>
21 #include <stdint.h>
22 #include <string.h>
23 #include <math.h>
24 #include <glib.h>
25 #include <libsigrok/libsigrok.h>
26 #include "libsigrok-internal.h"
27
28 #define LOG_PREFIX "es51919"
29
30 struct dev_buffer {
31         /** Total size of the buffer. */
32         size_t size;
33         /** Amount of data currently in the buffer. */
34         size_t len;
35         /** Offset where the data starts in the buffer. */
36         size_t offset;
37         /** Space for the data. */
38         uint8_t data[];
39 };
40
41 static struct dev_buffer *dev_buffer_new(size_t size)
42 {
43         struct dev_buffer *dbuf;
44
45         dbuf = g_malloc0(sizeof(struct dev_buffer) + size);
46         dbuf->size = size;
47         dbuf->len = 0;
48         dbuf->offset = 0;
49
50         return dbuf;
51 }
52
53 static void dev_buffer_destroy(struct dev_buffer *dbuf)
54 {
55         g_free(dbuf);
56 }
57
58 static int dev_buffer_fill_serial(struct dev_buffer *dbuf,
59                                   struct sr_dev_inst *sdi)
60 {
61         struct sr_serial_dev_inst *serial;
62         int len;
63
64         serial = sdi->conn;
65
66         /* If we already have data, move it to the beginning of the buffer. */
67         if (dbuf->len > 0 && dbuf->offset > 0)
68                 memmove(dbuf->data, dbuf->data + dbuf->offset, dbuf->len);
69
70         dbuf->offset = 0;
71
72         len = dbuf->size - dbuf->len;
73         len = serial_read_nonblocking(serial, dbuf->data + dbuf->len, len);
74         if (len < 0) {
75                 sr_err("Serial port read error: %d.", len);
76                 return len;
77         }
78
79         dbuf->len += len;
80
81         return SR_OK;
82 }
83
84 static uint8_t *dev_buffer_packet_find(struct dev_buffer *dbuf,
85                                 gboolean (*packet_valid)(const uint8_t *),
86                                 size_t packet_size)
87 {
88         size_t offset;
89
90         while (dbuf->len >= packet_size) {
91                 if (packet_valid(dbuf->data + dbuf->offset)) {
92                         offset = dbuf->offset;
93                         dbuf->offset += packet_size;
94                         dbuf->len -= packet_size;
95                         return dbuf->data + offset;
96                 }
97                 dbuf->offset++;
98                 dbuf->len--;
99         }
100
101         return NULL;
102 }
103
104 struct dev_limit_counter {
105         /** The current number of received samples/frames/etc. */
106         uint64_t count;
107         /** The limit (in number of samples/frames/etc.). */
108         uint64_t limit;
109 };
110
111 static void dev_limit_counter_start(struct dev_limit_counter *cnt)
112 {
113         cnt->count = 0;
114 }
115
116 static void dev_limit_counter_inc(struct dev_limit_counter *cnt)
117 {
118         cnt->count++;
119 }
120
121 static void dev_limit_counter_limit_set(struct dev_limit_counter *cnt,
122                                         uint64_t limit)
123 {
124         cnt->limit = limit;
125 }
126
127 static gboolean dev_limit_counter_limit_reached(struct dev_limit_counter *cnt)
128 {
129         if (cnt->limit && cnt->count >= cnt->limit) {
130                 sr_info("Requested counter limit reached.");
131                 return TRUE;
132         }
133
134         return FALSE;
135 }
136
137 struct dev_time_counter {
138         /** The starting time of current sampling run. */
139         int64_t starttime;
140         /** The time limit (in milliseconds). */
141         uint64_t limit;
142 };
143
144 static void dev_time_counter_start(struct dev_time_counter *cnt)
145 {
146         cnt->starttime = g_get_monotonic_time();
147 }
148
149 static void dev_time_limit_set(struct dev_time_counter *cnt, uint64_t limit)
150 {
151         cnt->limit = limit;
152 }
153
154 static gboolean dev_time_limit_reached(struct dev_time_counter *cnt)
155 {
156         int64_t time;
157
158         if (cnt->limit) {
159                 time = (g_get_monotonic_time() - cnt->starttime) / 1000;
160                 if (time > (int64_t)cnt->limit) {
161                         sr_info("Requested time limit reached.");
162                         return TRUE;
163                 }
164         }
165
166         return FALSE;
167 }
168
169 static void serial_conf_get(GSList *options, const char *def_serialcomm,
170                             const char **conn, const char **serialcomm)
171 {
172         struct sr_config *src;
173         GSList *l;
174
175         *conn = *serialcomm = NULL;
176         for (l = options; l; l = l->next) {
177                 src = l->data;
178                 switch (src->key) {
179                 case SR_CONF_CONN:
180                         *conn = g_variant_get_string(src->data, NULL);
181                         break;
182                 case SR_CONF_SERIALCOMM:
183                         *serialcomm = g_variant_get_string(src->data, NULL);
184                         break;
185                 }
186         }
187
188         if (*serialcomm == NULL)
189                 *serialcomm = def_serialcomm;
190 }
191
192 static struct sr_serial_dev_inst *serial_dev_new(GSList *options,
193                                                  const char *def_serialcomm)
194
195 {
196         const char *conn, *serialcomm;
197
198         serial_conf_get(options, def_serialcomm, &conn, &serialcomm);
199
200         if (!conn)
201                 return NULL;
202
203         return sr_serial_dev_inst_new(conn, serialcomm);
204 }
205
206 static int serial_stream_check_buf(struct sr_serial_dev_inst *serial,
207                                    uint8_t *buf, size_t buflen,
208                                    size_t packet_size,
209                                    packet_valid_callback is_valid,
210                                    uint64_t timeout_ms, int baudrate)
211 {
212         size_t len, dropped;
213         int ret;
214
215         if ((ret = serial_open(serial, SERIAL_RDWR)) != SR_OK)
216                 return ret;
217
218         serial_flush(serial);
219
220         len = buflen;
221         ret = serial_stream_detect(serial, buf, &len, packet_size,
222                                    is_valid, timeout_ms, baudrate);
223
224         serial_close(serial);
225
226         if (ret != SR_OK)
227                 return ret;
228
229         /*
230          * If we dropped more than two packets worth of data, something is
231          * wrong. We shouldn't quit however, since the dropped bytes might be
232          * just zeroes at the beginning of the stream. Those can occur as a
233          * combination of the nonstandard cable that ships with some devices
234          * and the serial port or USB to serial adapter.
235          */
236         dropped = len - packet_size;
237         if (dropped > 2 * packet_size)
238                 sr_warn("Had to drop too much data.");
239
240         return SR_OK;
241 }
242
243 static int serial_stream_check(struct sr_serial_dev_inst *serial,
244                                size_t packet_size,
245                                packet_valid_callback is_valid,
246                                uint64_t timeout_ms, int baudrate)
247 {
248         uint8_t buf[128];
249
250         return serial_stream_check_buf(serial, buf, sizeof(buf), packet_size,
251                                        is_valid, timeout_ms, baudrate);
252 }
253
254 struct std_opt_desc {
255         const uint32_t *scanopts;
256         const int num_scanopts;
257         const uint32_t *devopts;
258         const int num_devopts;
259 };
260
261 static int std_config_list(uint32_t key, GVariant **data,
262                            const struct std_opt_desc *d)
263 {
264         switch (key) {
265         case SR_CONF_SCAN_OPTIONS:
266                 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_UINT32,
267                         d->scanopts, d->num_scanopts, sizeof(uint32_t));
268                 break;
269         case SR_CONF_DEVICE_OPTIONS:
270                 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_UINT32,
271                         d->devopts, d->num_devopts, sizeof(uint32_t));
272                 break;
273         default:
274                 return SR_ERR_NA;
275         }
276
277         return SR_OK;
278 }
279
280 static int send_config_update(struct sr_dev_inst *sdi, struct sr_config *cfg)
281 {
282         struct sr_datafeed_packet packet;
283         struct sr_datafeed_meta meta;
284
285         memset(&meta, 0, sizeof(meta));
286
287         packet.type = SR_DF_META;
288         packet.payload = &meta;
289
290         meta.config = g_slist_append(meta.config, cfg);
291
292         return sr_session_send(sdi, &packet);
293 }
294
295 static int send_config_update_key(struct sr_dev_inst *sdi, uint32_t key,
296                                   GVariant *var)
297 {
298         struct sr_config *cfg;
299         int ret;
300
301         cfg = sr_config_new(key, var);
302         if (!cfg)
303                 return SR_ERR;
304
305         ret = send_config_update(sdi, cfg);
306         sr_config_free(cfg);
307
308         return ret;
309 }
310
311 /*
312  * Cyrustek ES51919 LCR chipset host protocol.
313  *
314  * Public official documentation does not contain the protocol
315  * description, so this is all based on reverse engineering.
316  *
317  * Packet structure (17 bytes):
318  *
319  * 0x00: header1 ?? (0x00)
320  * 0x01: header2 ?? (0x0d)
321  *
322  * 0x02: flags
323  *         bit 0 = hold enabled
324  *         bit 1 = reference shown (in delta mode)
325  *         bit 2 = delta mode
326  *         bit 3 = calibration mode
327  *         bit 4 = sorting mode
328  *         bit 5 = LCR mode
329  *         bit 6 = auto mode
330  *         bit 7 = parallel measurement (vs. serial)
331  *
332  * 0x03: config
333  *         bit 0-4 = ??? (0x10)
334  *         bit 5-7 = test frequency
335  *                     0 = 100 Hz
336  *                     1 = 120 Hz
337  *                     2 = 1 kHz
338  *                     3 = 10 kHz
339  *                     4 = 100 kHz
340  *                     5 = 0 Hz (DC)
341  *
342  * 0x04: tolerance (sorting mode)
343  *         0 = not set
344  *         3 = +-0.25%
345  *         4 = +-0.5%
346  *         5 = +-1%
347  *         6 = +-2%
348  *         7 = +-5%
349  *         8 = +-10%
350  *         9 = +-20%
351  *        10 = -20+80%
352  *
353  * 0x05-0x09: primary measurement
354  *   0x05: measured quantity
355  *           1 = inductance
356  *           2 = capacitance
357  *           3 = resistance
358  *           4 = DC resistance
359  *   0x06: measurement MSB  (0x4e20 = 20000 = outside limits)
360  *   0x07: measurement LSB
361  *   0x08: measurement info
362  *           bit 0-2 = decimal point multiplier (10^-val)
363  *           bit 3-7 = unit
364  *                       0 = no unit
365  *                       1 = Ohm
366  *                       2 = kOhm
367  *                       3 = MOhm
368  *                       5 = uH
369  *                       6 = mH
370  *                       7 = H
371  *                       8 = kH
372  *                       9 = pF
373  *                       10 = nF
374  *                       11 = uF
375  *                       12 = mF
376  *                       13 = %
377  *                       14 = degree
378  *   0x09: measurement status
379  *           bit 0-3 = status
380  *                       0 = normal (measurement shown)
381  *                       1 = blank (nothing shown)
382  *                       2 = lines ("----")
383  *                       3 = outside limits ("OL")
384  *                       7 = pass ("PASS")
385  *                       8 = fail ("FAIL")
386  *                       9 = open ("OPEn")
387  *                      10 = shorted ("Srt")
388  *           bit 4-6 = ??? (maybe part of same field with 0-3)
389  *           bit 7   = ??? (some independent flag)
390  *
391  * 0x0a-0x0e: secondary measurement
392  *   0x0a: measured quantity
393  *           0 = none
394  *           1 = dissipation factor
395  *           2 = quality factor
396  *           3 = parallel AC resistance / ESR
397  *           4 = phase angle
398  *   0x0b-0x0e: like primary measurement
399  *
400  * 0x0f: footer1 (0x0d) ?
401  * 0x10: footer2 (0x0a) ?
402  */
403
404 #define PACKET_SIZE 17
405
406 static const double frequencies[] = {
407         100, 120, 1000, 10000, 100000, 0,
408 };
409
410 enum { MODEL_NONE, MODEL_PAR, MODEL_SER, MODEL_AUTO, };
411
412 static const char *const models[] = {
413         "NONE", "PARALLEL", "SERIES", "AUTO",
414 };
415
416 /** Private, per-device-instance driver context. */
417 struct dev_context {
418         /** The number of frames. */
419         struct dev_limit_counter frame_count;
420
421         /** The time limit counter. */
422         struct dev_time_counter time_count;
423
424         /** Data buffer. */
425         struct dev_buffer *buf;
426
427         /** The frequency of the test signal (index to frequencies[]). */
428         unsigned int freq;
429
430         /** Equivalent circuit model (index to models[]). */
431         unsigned int model;
432 };
433
434 static const uint8_t *pkt_to_buf(const uint8_t *pkt, int is_secondary)
435 {
436         return is_secondary ? pkt + 10 : pkt + 5;
437 }
438
439 static int parse_mq(const uint8_t *pkt, int is_secondary, int is_parallel)
440 {
441         const uint8_t *buf;
442
443         buf = pkt_to_buf(pkt, is_secondary);
444
445         switch (is_secondary << 8 | buf[0]) {
446         case 0x001:
447                 return is_parallel ?
448                         SR_MQ_PARALLEL_INDUCTANCE : SR_MQ_SERIES_INDUCTANCE;
449         case 0x002:
450                 return is_parallel ?
451                         SR_MQ_PARALLEL_CAPACITANCE : SR_MQ_SERIES_CAPACITANCE;
452         case 0x003:
453         case 0x103:
454                 return is_parallel ?
455                         SR_MQ_PARALLEL_RESISTANCE : SR_MQ_SERIES_RESISTANCE;
456         case 0x004:
457                 return SR_MQ_RESISTANCE;
458         case 0x100:
459                 return SR_MQ_DIFFERENCE;
460         case 0x101:
461                 return SR_MQ_DISSIPATION_FACTOR;
462         case 0x102:
463                 return SR_MQ_QUALITY_FACTOR;
464         case 0x104:
465                 return SR_MQ_PHASE_ANGLE;
466         }
467
468         sr_err("Unknown quantity 0x%03x.", is_secondary << 8 | buf[0]);
469
470         return 0;
471 }
472
473 static float parse_value(const uint8_t *buf)
474 {
475         static const float decimals[] = {
476                 1, 1e-1, 1e-2, 1e-3, 1e-4, 1e-5, 1e-6, 1e-7
477         };
478         int16_t val;
479
480         val = (buf[1] << 8) | buf[2];
481         return (float)val * decimals[buf[3] & 7];
482 }
483
484 static void parse_measurement(const uint8_t *pkt, float *floatval,
485                               struct sr_datafeed_analog *analog,
486                               int is_secondary)
487 {
488         static const struct {
489                 int unit;
490                 float mult;
491         } units[] = {
492                 { SR_UNIT_UNITLESS, 1 },        /* no unit */
493                 { SR_UNIT_OHM, 1 },             /* Ohm     */
494                 { SR_UNIT_OHM, 1e3 },           /* kOhm    */
495                 { SR_UNIT_OHM, 1e6 },           /* MOhm    */
496                 { -1, 0 },                      /* ???     */
497                 { SR_UNIT_HENRY, 1e-6 },        /* uH      */
498                 { SR_UNIT_HENRY, 1e-3 },        /* mH      */
499                 { SR_UNIT_HENRY, 1 },           /* H       */
500                 { SR_UNIT_HENRY, 1e3 },         /* kH      */
501                 { SR_UNIT_FARAD, 1e-12 },       /* pF      */
502                 { SR_UNIT_FARAD, 1e-9 },        /* nF      */
503                 { SR_UNIT_FARAD, 1e-6 },        /* uF      */
504                 { SR_UNIT_FARAD, 1e-3 },        /* mF      */
505                 { SR_UNIT_PERCENTAGE, 1 },      /* %       */
506                 { SR_UNIT_DEGREE, 1 }           /* degree  */
507         };
508         const uint8_t *buf;
509         int state;
510
511         buf = pkt_to_buf(pkt, is_secondary);
512
513         analog->meaning->mq = 0;
514         analog->meaning->mqflags = 0;
515
516         state = buf[4] & 0xf;
517
518         if (state != 0 && state != 3)
519                 return;
520
521         if (pkt[2] & 0x18) {
522                 /* Calibration and Sorting modes not supported. */
523                 return;
524         }
525
526         if (!is_secondary) {
527                 if (pkt[2] & 0x01)
528                         analog->meaning->mqflags |= SR_MQFLAG_HOLD;
529                 if (pkt[2] & 0x02)
530                         analog->meaning->mqflags |= SR_MQFLAG_REFERENCE;
531         } else {
532                 if (pkt[2] & 0x04)
533                         analog->meaning->mqflags |= SR_MQFLAG_RELATIVE;
534         }
535
536         if ((analog->meaning->mq = parse_mq(pkt, is_secondary, pkt[2] & 0x80)) < 0)
537                 return;
538
539         if ((buf[3] >> 3) >= ARRAY_SIZE(units)) {
540                 sr_err("Unknown unit %u.", buf[3] >> 3);
541                 analog->meaning->mq = 0;
542                 return;
543         }
544
545         analog->meaning->unit = units[buf[3] >> 3].unit;
546
547         *floatval = parse_value(buf);
548         *floatval *= (state == 0) ? units[buf[3] >> 3].mult : INFINITY;
549 }
550
551 static unsigned int parse_freq(const uint8_t *pkt)
552 {
553         unsigned int freq;
554
555         freq = pkt[3] >> 5;
556
557         if (freq >= ARRAY_SIZE(frequencies)) {
558                 sr_err("Unknown frequency %u.", freq);
559                 freq = ARRAY_SIZE(frequencies) - 1;
560         }
561
562         return freq;
563 }
564
565 static unsigned int parse_model(const uint8_t *pkt)
566 {
567         if (pkt[2] & 0x40)
568                 return MODEL_AUTO;
569         else if (parse_mq(pkt, 0, 0) == SR_MQ_RESISTANCE)
570                 return MODEL_NONE;
571         else if (pkt[2] & 0x80)
572                 return MODEL_PAR;
573         else
574                 return MODEL_SER;
575 }
576
577 static gboolean packet_valid(const uint8_t *pkt)
578 {
579         /*
580          * If the first two bytes of the packet are indeed a constant
581          * header, they should be checked too. Since we don't know it
582          * for sure, we'll just check the last two for now since they
583          * seem to be constant just like in the other Cyrustek chipset
584          * protocols.
585          */
586         if (pkt[15] == 0xd && pkt[16] == 0xa)
587                 return TRUE;
588
589         return FALSE;
590 }
591
592 static int do_config_update(struct sr_dev_inst *sdi, uint32_t key,
593                             GVariant *var)
594 {
595         return send_config_update_key(sdi, key, var);
596 }
597
598 static int send_freq_update(struct sr_dev_inst *sdi, unsigned int freq)
599 {
600         return do_config_update(sdi, SR_CONF_OUTPUT_FREQUENCY,
601                                 g_variant_new_double(frequencies[freq]));
602 }
603
604 static int send_model_update(struct sr_dev_inst *sdi, unsigned int model)
605 {
606         return do_config_update(sdi, SR_CONF_EQUIV_CIRCUIT_MODEL,
607                                 g_variant_new_string(models[model]));
608 }
609
610 static void handle_packet(struct sr_dev_inst *sdi, const uint8_t *pkt)
611 {
612         struct sr_datafeed_packet packet;
613         struct sr_datafeed_analog analog;
614         struct sr_analog_encoding encoding;
615         struct sr_analog_meaning meaning;
616         struct sr_analog_spec spec;
617         struct dev_context *devc;
618         unsigned int val;
619         float floatval;
620         gboolean frame;
621
622         devc = sdi->priv;
623
624         val = parse_freq(pkt);
625         if (val != devc->freq) {
626                 if (send_freq_update(sdi, val) == SR_OK)
627                         devc->freq = val;
628                 else
629                         return;
630         }
631
632         val = parse_model(pkt);
633         if (val != devc->model) {
634                 if (send_model_update(sdi, val) == SR_OK)
635                         devc->model = val;
636                 else
637                         return;
638         }
639
640         frame = FALSE;
641
642         sr_analog_init(&analog, &encoding, &meaning, &spec, 0);
643
644         analog.num_samples = 1;
645         analog.data = &floatval;
646
647         analog.meaning->channels = g_slist_append(NULL, sdi->channels->data);
648
649         parse_measurement(pkt, &floatval, &analog, 0);
650         if (analog.meaning->mq != 0) {
651                 if (!frame) {
652                         packet.type = SR_DF_FRAME_BEGIN;
653                         sr_session_send(sdi, &packet);
654                         frame = TRUE;
655                 }
656
657                 packet.type = SR_DF_ANALOG;
658                 packet.payload = &analog;
659
660                 sr_session_send(sdi, &packet);
661         }
662
663         g_slist_free(analog.meaning->channels);
664         analog.meaning->channels = g_slist_append(NULL, sdi->channels->next->data);
665
666         parse_measurement(pkt, &floatval, &analog, 1);
667         if (analog.meaning->mq != 0) {
668                 if (!frame) {
669                         packet.type = SR_DF_FRAME_BEGIN;
670                         sr_session_send(sdi, &packet);
671                         frame = TRUE;
672                 }
673
674                 packet.type = SR_DF_ANALOG;
675                 packet.payload = &analog;
676
677                 sr_session_send(sdi, &packet);
678         }
679
680         g_slist_free(analog.meaning->channels);
681
682         if (frame) {
683                 packet.type = SR_DF_FRAME_END;
684                 sr_session_send(sdi, &packet);
685                 dev_limit_counter_inc(&devc->frame_count);
686         }
687 }
688
689 static int handle_new_data(struct sr_dev_inst *sdi)
690 {
691         struct dev_context *devc;
692         uint8_t *pkt;
693         int ret;
694
695         devc = sdi->priv;
696
697         ret = dev_buffer_fill_serial(devc->buf, sdi);
698         if (ret < 0)
699                 return ret;
700
701         while ((pkt = dev_buffer_packet_find(devc->buf, packet_valid,
702                                              PACKET_SIZE)))
703                 handle_packet(sdi, pkt);
704
705         return SR_OK;
706 }
707
708 static int receive_data(int fd, int revents, void *cb_data)
709 {
710         struct sr_dev_inst *sdi;
711         struct dev_context *devc;
712
713         (void)fd;
714
715         if (!(sdi = cb_data))
716                 return TRUE;
717
718         if (!(devc = sdi->priv))
719                 return TRUE;
720
721         if (revents == G_IO_IN) {
722                 /* Serial data arrived. */
723                 handle_new_data(sdi);
724         }
725
726         if (dev_limit_counter_limit_reached(&devc->frame_count) ||
727             dev_time_limit_reached(&devc->time_count))
728                 sdi->driver->dev_acquisition_stop(sdi);
729
730         return TRUE;
731 }
732
733 static const char *const channel_names[] = { "P1", "P2" };
734
735 static int setup_channels(struct sr_dev_inst *sdi)
736 {
737         unsigned int i;
738         int ret;
739
740         ret = SR_ERR_BUG;
741
742         for (i = 0; i < ARRAY_SIZE(channel_names); i++)
743                 sr_channel_new(sdi, i, SR_CHANNEL_ANALOG, TRUE, channel_names[i]);
744
745         return ret;
746 }
747
748 SR_PRIV void es51919_serial_clean(void *priv)
749 {
750         struct dev_context *devc;
751
752         if (!(devc = priv))
753                 return;
754
755         dev_buffer_destroy(devc->buf);
756         g_free(devc);
757 }
758
759 SR_PRIV struct sr_dev_inst *es51919_serial_scan(GSList *options,
760                                                 const char *vendor,
761                                                 const char *model)
762 {
763         struct sr_serial_dev_inst *serial;
764         struct sr_dev_inst *sdi;
765         struct dev_context *devc;
766         int ret;
767
768         serial = NULL;
769         sdi = NULL;
770         devc = NULL;
771
772         if (!(serial = serial_dev_new(options, "9600/8n1/rts=1/dtr=1")))
773                 goto scan_cleanup;
774
775         ret = serial_stream_check(serial, PACKET_SIZE, packet_valid,
776                                   3000, 9600);
777         if (ret != SR_OK)
778                 goto scan_cleanup;
779
780         sr_info("Found device on port %s.", serial->port);
781
782         sdi = g_malloc0(sizeof(struct sr_dev_inst));
783         sdi->status = SR_ST_INACTIVE;
784         sdi->vendor = g_strdup(vendor);
785         sdi->model = g_strdup(model);
786         devc = g_malloc0(sizeof(struct dev_context));
787         devc->buf = dev_buffer_new(PACKET_SIZE * 8);
788         sdi->inst_type = SR_INST_SERIAL;
789         sdi->conn = serial;
790         sdi->priv = devc;
791
792         if (setup_channels(sdi) != SR_OK)
793                 goto scan_cleanup;
794
795         return sdi;
796
797 scan_cleanup:
798         es51919_serial_clean(devc);
799         if (sdi)
800                 sr_dev_inst_free(sdi);
801         if (serial)
802                 sr_serial_dev_inst_free(serial);
803
804         return NULL;
805 }
806
807 SR_PRIV int es51919_serial_config_get(uint32_t key, GVariant **data,
808                                       const struct sr_dev_inst *sdi,
809                                       const struct sr_channel_group *cg)
810 {
811         struct dev_context *devc;
812
813         (void)cg;
814
815         devc = sdi->priv;
816
817         switch (key) {
818         case SR_CONF_OUTPUT_FREQUENCY:
819                 *data = g_variant_new_double(frequencies[devc->freq]);
820                 break;
821         case SR_CONF_EQUIV_CIRCUIT_MODEL:
822                 *data = g_variant_new_string(models[devc->model]);
823                 break;
824         default:
825                 return SR_ERR_NA;
826         }
827
828         return SR_OK;
829 }
830
831 SR_PRIV int es51919_serial_config_set(uint32_t key, GVariant *data,
832                                       const struct sr_dev_inst *sdi,
833                                       const struct sr_channel_group *cg)
834 {
835         struct dev_context *devc;
836         uint64_t val;
837
838         (void)cg;
839
840         if (!(devc = sdi->priv))
841                 return SR_ERR_BUG;
842
843         switch (key) {
844         case SR_CONF_LIMIT_MSEC:
845                 val = g_variant_get_uint64(data);
846                 dev_time_limit_set(&devc->time_count, val);
847                 sr_dbg("Setting time limit to %" PRIu64 ".", val);
848                 break;
849         case SR_CONF_LIMIT_FRAMES:
850                 val = g_variant_get_uint64(data);
851                 dev_limit_counter_limit_set(&devc->frame_count, val);
852                 sr_dbg("Setting frame limit to %" PRIu64 ".", val);
853                 break;
854         default:
855                 sr_spew("%s: Unsupported key %u", __func__, key);
856                 return SR_ERR_NA;
857         }
858
859         return SR_OK;
860 }
861
862 static const uint32_t scanopts[] = {
863         SR_CONF_CONN,
864         SR_CONF_SERIALCOMM,
865 };
866
867 static const uint32_t devopts[] = {
868         SR_CONF_LCRMETER,
869         SR_CONF_CONTINUOUS,
870         SR_CONF_LIMIT_FRAMES | SR_CONF_SET,
871         SR_CONF_LIMIT_MSEC | SR_CONF_SET,
872         SR_CONF_OUTPUT_FREQUENCY | SR_CONF_GET | SR_CONF_LIST,
873         SR_CONF_EQUIV_CIRCUIT_MODEL | SR_CONF_GET | SR_CONF_LIST,
874 };
875
876 static const struct std_opt_desc opts = {
877         scanopts, ARRAY_SIZE(scanopts),
878         devopts, ARRAY_SIZE(devopts),
879 };
880
881 SR_PRIV int es51919_serial_config_list(uint32_t key, GVariant **data,
882                                        const struct sr_dev_inst *sdi,
883                                        const struct sr_channel_group *cg)
884 {
885         (void)sdi;
886         (void)cg;
887
888         if (std_config_list(key, data, &opts) == SR_OK)
889                 return SR_OK;
890
891         switch (key) {
892         case SR_CONF_OUTPUT_FREQUENCY:
893                 *data = g_variant_new_fixed_array(G_VARIANT_TYPE_DOUBLE,
894                         frequencies, ARRAY_SIZE(frequencies), sizeof(double));
895                 break;
896         case SR_CONF_EQUIV_CIRCUIT_MODEL:
897                 *data = g_variant_new_strv(models, ARRAY_SIZE(models));
898                 break;
899         default:
900                 return SR_ERR_NA;
901         }
902
903         return SR_OK;
904 }
905
906 SR_PRIV int es51919_serial_acquisition_start(const struct sr_dev_inst *sdi)
907 {
908         struct dev_context *devc;
909         struct sr_serial_dev_inst *serial;
910
911         if (sdi->status != SR_ST_ACTIVE)
912                 return SR_ERR_DEV_CLOSED;
913
914         if (!(devc = sdi->priv))
915                 return SR_ERR_BUG;
916
917         dev_limit_counter_start(&devc->frame_count);
918         dev_time_counter_start(&devc->time_count);
919
920         std_session_send_df_header(sdi);
921
922         /* Poll every 50ms, or whenever some data comes in. */
923         serial = sdi->conn;
924         serial_source_add(sdi->session, serial, G_IO_IN, 50,
925                           receive_data, (void *)sdi);
926
927         return SR_OK;
928 }