]> sigrok.org Git - libsigrok.git/blob - src/hardware/pipistrello-ols/protocol.c
1d0c9f208839200ee97c5de38fc66d479b55f187
[libsigrok.git] / src / hardware / pipistrello-ols / protocol.c
1 /*
2  * This file is part of the libsigrok project.
3  *
4  * Copyright (C) 2013 Bert Vermeulen <bert@biot.com>
5  *
6  * This program is free software: you can redistribute it and/or modify
7  * it under the terms of the GNU General Public License as published by
8  * the Free Software Foundation, either version 3 of the License, or
9  * (at your option) any later version.
10  *
11  * This program is distributed in the hope that it will be useful,
12  * but WITHOUT ANY WARRANTY; without even the implied warranty of
13  * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
14  * GNU General Public License for more details.
15  *
16  * You should have received a copy of the GNU General Public License
17  * along with this program.  If not, see <http://www.gnu.org/licenses/>.
18  */
19
20 #include "protocol.h"
21
22 extern SR_PRIV struct sr_dev_driver p_ols_driver_info;
23 static struct sr_dev_driver *di = &p_ols_driver_info;
24
25 SR_PRIV int write_shortcommand(struct dev_context *devc, uint8_t command)
26 {
27         uint8_t buf[1];
28         int bytes_written;
29
30         sr_dbg("Sending cmd 0x%.2x.", command);
31         buf[0] = command;
32         bytes_written = ftdi_write_data(devc->ftdic, buf, 1);
33         if (bytes_written < 0) {
34                 sr_err("Failed to write FTDI data (%d): %s.",
35                        bytes_written, ftdi_get_error_string(devc->ftdic));
36                 return SR_ERR;
37         } else if (bytes_written != 1) {
38                 sr_err("FTDI write error, only %d/%d bytes written: %s.",
39                        bytes_written, 1, ftdi_get_error_string(devc->ftdic));
40                 return SR_ERR;
41         }
42
43         return SR_OK;
44 }
45
46 SR_PRIV int write_longcommand(struct dev_context *devc, uint8_t command, uint8_t *data)
47 {
48         uint8_t buf[5];
49         int bytes_written;
50
51         sr_dbg("Sending cmd 0x%.2x data 0x%.2x%.2x%.2x%.2x.", command,
52                         data[0], data[1], data[2], data[3]);
53         buf[0] = command;
54         buf[1] = data[0];
55         buf[2] = data[1];
56         buf[3] = data[2];
57         buf[4] = data[3];
58         bytes_written = ftdi_write_data(devc->ftdic, buf, 5);
59         if (bytes_written < 0) {
60                 sr_err("Failed to write FTDI data (%d): %s.",
61                        bytes_written, ftdi_get_error_string(devc->ftdic));
62                 return SR_ERR;
63         } else if (bytes_written != 5) {
64                 sr_err("FTDI write error, only %d/%d bytes written: %s.",
65                        bytes_written, 1, ftdi_get_error_string(devc->ftdic));
66                 return SR_ERR;
67         }
68
69         return SR_OK;
70 }
71
72 SR_PRIV int p_ols_open(struct dev_context *devc)
73 {
74         int ret;
75
76         /* Note: Caller checks devc and devc->ftdic. */
77
78         /* Select interface B, otherwise communication will fail. */
79         ret = ftdi_set_interface(devc->ftdic, INTERFACE_B);
80         if (ret < 0) {
81                 sr_err("Failed to set FTDI interface B (%d): %s", ret,
82                        ftdi_get_error_string(devc->ftdic));
83                 return SR_ERR;
84         }
85         sr_dbg("FTDI chip interface B set successfully.");
86
87         /* Check for the device and temporarily open it. */
88         ret = ftdi_usb_open_desc(devc->ftdic, USB_VENDOR_ID, USB_DEVICE_ID,
89                                  USB_IPRODUCT, NULL);
90         if (ret < 0) {
91                 /* Log errors, except for -3 ("device not found"). */
92                 if (ret != -3)
93                         sr_err("Failed to open device (%d): %s", ret,
94                                ftdi_get_error_string(devc->ftdic));
95                 return SR_ERR;
96         }
97         sr_dbg("FTDI device opened successfully.");
98
99         /* Purge RX/TX buffers in the FTDI chip. */
100         if ((ret = ftdi_usb_purge_buffers(devc->ftdic)) < 0) {
101                 sr_err("Failed to purge FTDI RX/TX buffers (%d): %s.",
102                        ret, ftdi_get_error_string(devc->ftdic));
103                 goto err_open_close_ftdic;
104         }
105         sr_dbg("FTDI chip buffers purged successfully.");
106
107         /* Reset the FTDI bitmode. */
108         ret = ftdi_set_bitmode(devc->ftdic, 0xff, BITMODE_RESET);
109         if (ret < 0) {
110                 sr_err("Failed to reset the FTDI chip bitmode (%d): %s.",
111                        ret, ftdi_get_error_string(devc->ftdic));
112                 goto err_open_close_ftdic;
113         }
114         sr_dbg("FTDI chip bitmode reset successfully.");
115
116         /* Set the FTDI latency timer to 16. */
117         ret = ftdi_set_latency_timer(devc->ftdic, 16);
118         if (ret < 0) {
119                 sr_err("Failed to set FTDI latency timer (%d): %s.",
120                        ret, ftdi_get_error_string(devc->ftdic));
121                 goto err_open_close_ftdic;
122         }
123         sr_dbg("FTDI chip latency timer set successfully.");
124
125         /* Set the FTDI read data chunk size to 64kB. */
126         ret = ftdi_read_data_set_chunksize(devc->ftdic, 64 * 1024);
127         if (ret < 0) {
128                 sr_err("Failed to set FTDI read data chunk size (%d): %s.",
129                        ret, ftdi_get_error_string(devc->ftdic));
130                 goto err_open_close_ftdic;
131         }
132         sr_dbg("FTDI chip read data chunk size set successfully.");
133         
134         return SR_OK;
135
136 err_open_close_ftdic:
137         ftdi_usb_close(devc->ftdic);
138         return SR_ERR;
139 }
140
141 SR_PRIV int p_ols_close(struct dev_context *devc)
142 {
143         int ret;
144
145         /* Note: Caller checks devc and devc->ftdic. */
146
147         if ((ret = ftdi_usb_close(devc->ftdic)) < 0) {
148                 sr_err("Failed to close FTDI device (%d): %s.",
149                        ret, ftdi_get_error_string(devc->ftdic));
150                 return SR_ERR;
151         }
152
153         return SR_OK;
154 }
155
156 /* Configures the channel mask based on which channels are enabled. */
157 SR_PRIV void pols_channel_mask(const struct sr_dev_inst *sdi)
158 {
159         struct dev_context *devc;
160         struct sr_channel *channel;
161         const GSList *l;
162
163         devc = sdi->priv;
164
165         devc->channel_mask = 0;
166         for (l = sdi->channels; l; l = l->next) {
167                 channel = l->data;
168                 if (channel->enabled)
169                         devc->channel_mask |= 1 << channel->index;
170         }
171 }
172
173 SR_PRIV int pols_convert_trigger(const struct sr_dev_inst *sdi)
174 {
175         struct dev_context *devc;
176         struct sr_trigger *trigger;
177         struct sr_trigger_stage *stage;
178         struct sr_trigger_match *match;
179         const GSList *l, *m;
180         int i;
181
182         devc = sdi->priv;
183
184         devc->num_stages = 0;
185         for (i = 0; i < NUM_TRIGGER_STAGES; i++) {
186                 devc->trigger_mask[i] = 0;
187                 devc->trigger_value[i] = 0;
188                 devc->trigger_edge[i] = 0;
189         }
190
191         if (!(trigger = sr_session_trigger_get(sdi->session)))
192                 return SR_OK;
193
194         devc->num_stages = g_slist_length(trigger->stages);
195         if (devc->num_stages > NUM_TRIGGER_STAGES) {
196                 sr_err("This device only supports %d trigger stages.",
197                                 NUM_TRIGGER_STAGES);
198                 return SR_ERR;
199         }
200
201         for (l = trigger->stages; l; l = l->next) {
202                 stage = l->data;
203                 for (m = stage->matches; m; m = m->next) {
204                         match = m->data;
205                         if (!match->channel->enabled)
206                                 /* Ignore disabled channels with a trigger. */
207                                 continue;
208                         devc->trigger_mask[stage->stage] |= 1 << match->channel->index;
209                         if (match->match == SR_TRIGGER_ONE || match->match == SR_TRIGGER_RISING)
210                                 devc->trigger_value[stage->stage] |= 1 << match->channel->index;
211                         if (match->match == SR_TRIGGER_RISING || match->match == SR_TRIGGER_FALLING)
212                                 devc->trigger_edge[stage->stage] |= 1 << match->channel->index;
213                 }
214         }
215
216         return SR_OK;
217 }
218
219 SR_PRIV struct sr_dev_inst *p_ols_get_metadata(uint8_t *buf, int bytes_read, struct dev_context *devc)
220 {
221         struct sr_dev_inst *sdi;
222         uint32_t tmp_int, ui;
223         uint8_t key, type, token;
224         GString *tmp_str, *devname, *version;
225         guchar tmp_c;
226         int index, i;
227
228         sdi = g_malloc0(sizeof(struct sr_dev_inst));
229         sdi->status = SR_ST_INACTIVE;
230         sdi->driver = di;
231         sdi->priv = devc;
232
233         devname = g_string_new("");
234         version = g_string_new("");
235
236         index = 0;
237         while (index < bytes_read) {
238                 key = buf[index++];
239                 if (key == 0x00) {
240                         sr_dbg("Got metadata key 0x00, metadata ends.");
241                         break;
242                 }
243                 type = key >> 5;
244                 token = key & 0x1f;
245                 switch (type) {
246                 case 0:
247                         /* NULL-terminated string */
248                         tmp_str = g_string_new("");
249                         while ((index < bytes_read) && ((tmp_c = buf[index++]) != '\0'))
250                                 g_string_append_c(tmp_str, tmp_c);
251                         sr_dbg("Got metadata key 0x%.2x value '%s'.",
252                                key, tmp_str->str);
253                         switch (token) {
254                         case 0x01:
255                                 /* Device name */
256                                 devname = g_string_append(devname, tmp_str->str);
257                                 break;
258                         case 0x02:
259                                 /* FPGA firmware version */
260                                 if (version->len)
261                                         g_string_append(version, ", ");
262                                 g_string_append(version, "FPGA version ");
263                                 g_string_append(version, tmp_str->str);
264                                 break;
265                         case 0x03:
266                                 /* Ancillary version */
267                                 if (version->len)
268                                         g_string_append(version, ", ");
269                                 g_string_append(version, "Ancillary version ");
270                                 g_string_append(version, tmp_str->str);
271                                 break;
272                         default:
273                                 sr_info("Unknown token 0x%.2x: '%s'",
274                                         token, tmp_str->str);
275                                 break;
276                         }
277                         g_string_free(tmp_str, TRUE);
278                         break;
279                 case 1:
280                         /* 32-bit unsigned integer */
281                         tmp_int = 0;
282                         for (i = 0; i < 4; i++) {
283                                 tmp_int = (tmp_int << 8) | buf[index++];
284                         }
285                         sr_dbg("Got metadata key 0x%.2x value 0x%.8x.",
286                                key, tmp_int);
287                         switch (token) {
288                         case 0x00:
289                                 /* Number of usable channels */
290                                 for (ui = 0; ui < tmp_int; ui++)
291                                         sr_channel_new(sdi, ui, SR_CHANNEL_LOGIC, TRUE,
292                                                         p_ols_channel_names[ui]);
293                                 break;
294                         case 0x01:
295                                 /* Amount of sample memory available (bytes) */
296                                 devc->max_samplebytes = tmp_int;
297                                 break;
298                         case 0x02:
299                                 /* Amount of dynamic memory available (bytes) */
300                                 /* what is this for? */
301                                 break;
302                         case 0x03:
303                                 /* Maximum sample rate (hz) */
304                                 devc->max_samplerate = tmp_int;
305                                 break;
306                         case 0x04:
307                                 /* protocol version */
308                                 devc->protocol_version = tmp_int;
309                                 break;
310                         default:
311                                 sr_info("Unknown token 0x%.2x: 0x%.8x.",
312                                         token, tmp_int);
313                                 break;
314                         }
315                         break;
316                 case 2:
317                         /* 8-bit unsigned integer */
318                         tmp_c = buf[index++];
319                         sr_dbg("Got metadata key 0x%.2x value 0x%.2x.",
320                                key, tmp_c);
321                         switch (token) {
322                         case 0x00:
323                                 /* Number of usable channels */
324                                 for (ui = 0; ui < tmp_c; ui++)
325                                         sr_channel_new(sdi, ui, SR_CHANNEL_LOGIC, TRUE,
326                                                         p_ols_channel_names[ui]);
327                                 break;
328                         case 0x01:
329                                 /* protocol version */
330                                 devc->protocol_version = tmp_c;
331                                 break;
332                         default:
333                                 sr_info("Unknown token 0x%.2x: 0x%.2x.",
334                                         token, tmp_c);
335                                 break;
336                         }
337                         break;
338                 default:
339                         /* unknown type */
340                         break;
341                 }
342         }
343
344         sdi->model = devname->str;
345         sdi->version = version->str;
346         g_string_free(devname, FALSE);
347         g_string_free(version, FALSE);
348
349         return sdi;
350 }
351
352 SR_PRIV int p_ols_set_samplerate(const struct sr_dev_inst *sdi,
353                 const uint64_t samplerate)
354 {
355         struct dev_context *devc;
356
357         devc = sdi->priv;
358         if (devc->max_samplerate && samplerate > devc->max_samplerate)
359                 return SR_ERR_SAMPLERATE;
360
361         if (samplerate > CLOCK_RATE) {
362                 sr_info("Enabling demux mode.");
363                 devc->flag_reg |= FLAG_DEMUX;
364                 devc->flag_reg &= ~FLAG_FILTER;
365                 devc->max_channels = NUM_CHANNELS / 2;
366                 devc->cur_samplerate_divider = (CLOCK_RATE * 2 / samplerate) - 1;
367         } else {
368                 sr_info("Disabling demux mode.");
369                 devc->flag_reg &= ~FLAG_DEMUX;
370                 devc->flag_reg |= FLAG_FILTER;
371                 devc->max_channels = NUM_CHANNELS;
372                 devc->cur_samplerate_divider = (CLOCK_RATE / samplerate) - 1;
373         }
374
375         /* Calculate actual samplerate used and complain if it is different
376          * from the requested.
377          */
378         devc->cur_samplerate = CLOCK_RATE / (devc->cur_samplerate_divider + 1);
379         if (devc->flag_reg & FLAG_DEMUX)
380                 devc->cur_samplerate *= 2;
381         if (devc->cur_samplerate != samplerate)
382                 sr_info("Can't match samplerate %" PRIu64 ", using %"
383                        PRIu64 ".", samplerate, devc->cur_samplerate);
384
385         return SR_OK;
386 }
387
388
389 SR_PRIV int p_ols_receive_data(int fd, int revents, void *cb_data)
390 {
391         struct dev_context *devc;
392         struct sr_dev_inst *sdi;
393         struct sr_datafeed_packet packet;
394         struct sr_datafeed_logic logic;
395         uint32_t sample;
396         int num_channels, offset, j;
397         int bytes_read, index;
398         unsigned int i;
399         unsigned char byte;
400
401         (void)fd;
402         (void)revents;
403
404         sdi = cb_data;
405         devc = sdi->priv;
406
407         if (devc->num_transfers++ == 0) {
408                 devc->raw_sample_buf = g_try_malloc(devc->limit_samples * 4);
409                 if (!devc->raw_sample_buf) {
410                         sr_err("Sample buffer malloc failed.");
411                         return FALSE;
412                 }
413                 /* fill with 1010... for debugging */
414                 memset(devc->raw_sample_buf, 0x82, devc->limit_samples * 4);
415         }
416
417         if ((devc->num_samples < devc->limit_samples) && (devc->cnt_samples < devc->max_samples)) {
418
419                 num_channels = 0;
420                 for (i = NUM_CHANNELS; i > 0x02; i /= 2) {
421                         if ((devc->flag_reg & i) == 0) {
422                                 num_channels++;
423                         }
424                 }
425
426                 /* Get a block of data. */
427                 bytes_read = ftdi_read_data(devc->ftdic, devc->ftdi_buf, FTDI_BUF_SIZE);
428                 if (bytes_read < 0) {
429                         sr_err("Failed to read FTDI data (%d): %s.",
430                                bytes_read, ftdi_get_error_string(devc->ftdic));
431                         sdi->driver->dev_acquisition_stop(sdi, sdi);
432                         return FALSE;
433                 }
434                 if (bytes_read == 0) {
435                         sr_spew("Received 0 bytes, nothing to do.");
436                         return TRUE;
437                 }
438
439                 sr_dbg("Received %d bytes", bytes_read);
440
441                 index = 0;
442                 while (index < bytes_read) {
443                         byte = devc->ftdi_buf[index++];
444                         devc->cnt_bytes++;
445
446                         devc->sample[devc->num_bytes++] = byte;
447                         sr_spew("Received byte 0x%.2x.", byte);
448
449                         if ((devc->flag_reg & FLAG_DEMUX) && (devc->flag_reg & FLAG_RLE)) {
450                                 /* RLE in demux mode must be processed differently 
451                                 * since in this case the RLE encoder is operating on pairs of samples.
452                                 */
453                                 if (devc->num_bytes == num_channels * 2) {
454                                         devc->cnt_samples += 2;
455                                         devc->cnt_samples_rle += 2;
456                                         /*
457                                          * Got a sample pair. Convert from the OLS's little-endian
458                                          * sample to the local format.
459                                          */
460                                         sample = devc->sample[0] | (devc->sample[1] << 8) \
461                                                         | (devc->sample[2] << 16) | (devc->sample[3] << 24);
462                                         sr_spew("Received sample pair 0x%.*x.", devc->num_bytes * 2, sample);
463
464                                         /*
465                                          * In RLE mode the high bit of the sample pair is the
466                                          * "count" flag, meaning this sample pair is the number
467                                          * of times the previous sample pair occurred.
468                                          */
469                                         if (devc->sample[devc->num_bytes - 1] & 0x80) {
470                                                 /* Clear the high bit. */
471                                                 sample &= ~(0x80 << (devc->num_bytes - 1) * 8);
472                                                 devc->rle_count = sample;
473                                                 devc->cnt_samples_rle += devc->rle_count * 2;
474                                                 sr_dbg("RLE count: %u.", devc->rle_count * 2);
475                                                 devc->num_bytes = 0;
476                                                 continue;
477                                         }
478                                         devc->num_samples += (devc->rle_count + 1) * 2;
479                                         if (devc->num_samples > devc->limit_samples) {
480                                                 /* Save us from overrunning the buffer. */
481                                                 devc->rle_count -= (devc->num_samples - devc->limit_samples) / 2;
482                                                 devc->num_samples = devc->limit_samples;
483                                                 index = bytes_read;
484                                         }
485
486                                         /*
487                                          * Some channel groups may have been turned
488                                          * off, to speed up transfer between the
489                                          * hardware and the PC. Expand that here before
490                                          * submitting it over the session bus --
491                                          * whatever is listening on the bus will be
492                                          * expecting a full 32-bit sample, based on
493                                          * the number of channels.
494                                          */
495                                         j = 0;
496                                         /* expand first sample */
497                                         memset(devc->tmp_sample, 0, 4);
498                                         for (i = 0; i < 2; i++) {
499                                                 if (((devc->flag_reg >> 2) & (1 << i)) == 0) {
500                                                         /*
501                                                          * This channel group was
502                                                          * enabled, copy from received
503                                                          * sample.
504                                                          */
505                                                         devc->tmp_sample[i] = devc->sample[j++];
506                                                 } 
507                                         }
508                                         /* Clear out the most significant bit of the sample */
509                                         devc->tmp_sample[devc->num_bytes - 1] &= 0x7f;
510                                         sr_spew("Expanded sample 1: 0x%.8x.", devc->tmp_sample);
511
512                                         /* expand second sample */
513                                         memset(devc->tmp_sample2, 0, 4);
514                                         for (i = 0; i < 2; i++) {
515                                                 if (((devc->flag_reg >> 2) & (1 << i)) == 0) {
516                                                         /*
517                                                          * This channel group was
518                                                          * enabled, copy from received
519                                                          * sample.
520                                                          */
521                                                         devc->tmp_sample2[i] = devc->sample[j++];
522                                                 } 
523                                         }
524                                         /* Clear out the most significant bit of the sample */
525                                         devc->tmp_sample2[devc->num_bytes - 1] &= 0x7f;
526                                         sr_spew("Expanded sample 2: 0x%.8x.", devc->tmp_sample2);
527
528                                         /*
529                                          * OLS sends its sample buffer backwards.
530                                          * store it in reverse order here, so we can dump
531                                          * this on the session bus later.
532                                          */
533                                         offset = (devc->limit_samples - devc->num_samples) * 4;
534                                         for (i = 0; i <= devc->rle_count; i++) {
535                                                 memcpy(devc->raw_sample_buf + offset + (i * 8),
536                                                                          devc->tmp_sample2, 4);
537                                                 memcpy(devc->raw_sample_buf + offset + (4 + (i * 8)),
538                                                                          devc->tmp_sample, 4);
539                                         }
540                                         memset(devc->sample, 0, 4);
541                                         devc->num_bytes = 0;
542                                         devc->rle_count = 0;
543                                 }
544                         }
545                         else {
546                                 if (devc->num_bytes == num_channels) {
547                                         devc->cnt_samples++;
548                                         devc->cnt_samples_rle++;
549                                         /*
550                                          * Got a full sample. Convert from the OLS's little-endian
551                                          * sample to the local format.
552                                          */
553                                         sample = devc->sample[0] | (devc->sample[1] << 8) \
554                                                         | (devc->sample[2] << 16) | (devc->sample[3] << 24);
555                                         sr_spew("Received sample 0x%.*x.", devc->num_bytes * 2, sample);
556                                         if (devc->flag_reg & FLAG_RLE) {
557                                                 /*
558                                                  * In RLE mode the high bit of the sample is the
559                                                  * "count" flag, meaning this sample is the number
560                                                  * of times the previous sample occurred.
561                                                  */
562                                                 if (devc->sample[devc->num_bytes - 1] & 0x80) {
563                                                         /* Clear the high bit. */
564                                                         sample &= ~(0x80 << (devc->num_bytes - 1) * 8);
565                                                         devc->rle_count = sample;
566                                                         devc->cnt_samples_rle += devc->rle_count;
567                                                         sr_dbg("RLE count: %u.", devc->rle_count);
568                                                         devc->num_bytes = 0;
569                                                         continue;
570                                                 }
571                                         }
572                                         devc->num_samples += devc->rle_count + 1;
573                                         if (devc->num_samples > devc->limit_samples) {
574                                                 /* Save us from overrunning the buffer. */
575                                                 devc->rle_count -= devc->num_samples - devc->limit_samples;
576                                                 devc->num_samples = devc->limit_samples;
577                                                 index = bytes_read;
578                                         }
579
580                                         if (num_channels < 4) {
581                                                 /*
582                                                  * Some channel groups may have been turned
583                                                  * off, to speed up transfer between the
584                                                  * hardware and the PC. Expand that here before
585                                                  * submitting it over the session bus --
586                                                  * whatever is listening on the bus will be
587                                                  * expecting a full 32-bit sample, based on
588                                                  * the number of channels.
589                                                  */
590                                                 j = 0;
591                                                 memset(devc->tmp_sample, 0, 4);
592                                                 for (i = 0; i < 4; i++) {
593                                                         if (((devc->flag_reg >> 2) & (1 << i)) == 0) {
594                                                                 /*
595                                                                  * This channel group was
596                                                                  * enabled, copy from received
597                                                                  * sample.
598                                                                  */
599                                                                 devc->tmp_sample[i] = devc->sample[j++];
600                                                         } 
601                                                 }
602                                                 memcpy(devc->sample, devc->tmp_sample, 4);
603                                                 sr_spew("Expanded sample: 0x%.8x.", sample);
604                                         }
605
606                                         /*
607                                          * Pipistrello OLS sends its sample buffer backwards.
608                                          * store it in reverse order here, so we can dump
609                                          * this on the session bus later.
610                                          */
611                                         offset = (devc->limit_samples - devc->num_samples) * 4;
612                                         for (i = 0; i <= devc->rle_count; i++) {
613                                                 memcpy(devc->raw_sample_buf + offset + (i * 4),
614                                                                          devc->sample, 4);
615                                         }
616                                         memset(devc->sample, 0, 4);
617                                         devc->num_bytes = 0;
618                                         devc->rle_count = 0;
619                                 }
620                         }
621                 }
622                 return TRUE;
623         } else {
624                 do bytes_read = ftdi_read_data(devc->ftdic, devc->ftdi_buf, FTDI_BUF_SIZE);
625                 while (bytes_read > 0);
626
627                 /*
628                  * We've acquired all the samples we asked for -- we're done.
629                  * Send the (properly-ordered) buffer to the frontend.
630                  */
631                 sr_dbg("Received %d bytes, %d samples, %d decompressed samples.",
632                                 devc->cnt_bytes, devc->cnt_samples,
633                                 devc->cnt_samples_rle);
634                 if (devc->trigger_at != -1) {
635                         /*
636                          * A trigger was set up, so we need to tell the frontend
637                          * about it.
638                          */
639                         if (devc->trigger_at > 0) {
640                                 /* There are pre-trigger samples, send those first. */
641                                 packet.type = SR_DF_LOGIC;
642                                 packet.payload = &logic;
643                                 logic.length = devc->trigger_at * 4;
644                                 logic.unitsize = 4;
645                                 logic.data = devc->raw_sample_buf +
646                                         (devc->limit_samples - devc->num_samples) * 4;
647                                 sr_session_send(cb_data, &packet);
648                         }
649
650                         /* Send the trigger. */
651                         packet.type = SR_DF_TRIGGER;
652                         sr_session_send(cb_data, &packet);
653
654                         /* Send post-trigger samples. */
655                         packet.type = SR_DF_LOGIC;
656                         packet.payload = &logic;
657                         logic.length = (devc->num_samples * 4) - (devc->trigger_at * 4);
658                         logic.unitsize = 4;
659                         logic.data = devc->raw_sample_buf + devc->trigger_at * 4 +
660                                 (devc->limit_samples - devc->num_samples) * 4;
661                         sr_session_send(cb_data, &packet);
662                 } else {
663                         /* no trigger was used */
664                         packet.type = SR_DF_LOGIC;
665                         packet.payload = &logic;
666                         logic.length = devc->num_samples * 4;
667                         logic.unitsize = 4;
668                         logic.data = devc->raw_sample_buf +
669                                 (devc->limit_samples - devc->num_samples) * 4;
670                         sr_session_send(cb_data, &packet);
671                 }
672                 g_free(devc->raw_sample_buf);
673
674                 sdi->driver->dev_acquisition_stop(sdi, cb_data);
675         }
676
677         return TRUE;
678 }