]> sigrok.org Git - fx2grok.git/commitdiff
Rename fx2grok-cube to fx2grok-tiny.
authorUwe Hermann <redacted>
Sun, 9 Jul 2017 19:30:08 +0000 (21:30 +0200)
committerUwe Hermann <redacted>
Sun, 9 Jul 2017 19:32:04 +0000 (21:32 +0200)
12 files changed:
hardware/fx2grok-cube/0.1/fx2grok-cube.kicad_pcb [deleted file]
hardware/fx2grok-cube/0.1/fx2grok-cube.lib [deleted file]
hardware/fx2grok-cube/0.1/fx2grok-cube.mod [deleted file]
hardware/fx2grok-cube/0.1/fx2grok-cube.net [deleted file]
hardware/fx2grok-cube/0.1/fx2grok-cube.pro [deleted file]
hardware/fx2grok-cube/0.1/fx2grok-cube.sch [deleted file]
hardware/fx2grok-tiny/0.1/fx2grok-tiny.kicad_pcb [new file with mode: 0644]
hardware/fx2grok-tiny/0.1/fx2grok-tiny.lib [new file with mode: 0644]
hardware/fx2grok-tiny/0.1/fx2grok-tiny.mod [new file with mode: 0644]
hardware/fx2grok-tiny/0.1/fx2grok-tiny.net [new file with mode: 0644]
hardware/fx2grok-tiny/0.1/fx2grok-tiny.pro [new file with mode: 0644]
hardware/fx2grok-tiny/0.1/fx2grok-tiny.sch [new file with mode: 0644]

diff --git a/hardware/fx2grok-cube/0.1/fx2grok-cube.kicad_pcb b/hardware/fx2grok-cube/0.1/fx2grok-cube.kicad_pcb
deleted file mode 100644 (file)
index 373cc8a..0000000
+++ /dev/null
@@ -1,1262 +0,0 @@
-(kicad_pcb (version 4) (host pcbnew 4.0.5+dfsg1-4)
-
-  (general
-    (links 81)
-    (no_connects 7)
-    (area 47.519771 26.95194 88.773 68.877542)
-    (thickness 1.6002)
-    (drawings 8)
-    (tracks 261)
-    (zones 0)
-    (modules 28)
-    (nets 23)
-  )
-
-  (page User 152.4 101.6)
-  (title_block
-    (date "4 apr 2013")
-  )
-
-  (layers
-    (0 Front signal)
-    (31 Back signal)
-    (32 B.Adhes user)
-    (33 F.Adhes user)
-    (34 B.Paste user)
-    (35 F.Paste user)
-    (36 B.SilkS user)
-    (37 F.SilkS user)
-    (38 B.Mask user)
-    (39 F.Mask user)
-    (40 Dwgs.User user)
-    (41 Cmts.User user)
-    (42 Eco1.User user)
-    (43 Eco2.User user)
-    (44 Edge.Cuts user)
-  )
-
-  (setup
-    (last_trace_width 0.127)
-    (trace_clearance 0.1524)
-    (zone_clearance 0.508)
-    (zone_45_only no)
-    (trace_min 0.127)
-    (segment_width 0.381)
-    (edge_width 0.381)
-    (via_size 0.44958)
-    (via_drill 0.20066)
-    (via_min_size 0.44958)
-    (via_min_drill 0.20066)
-    (uvia_size 0.508)
-    (uvia_drill 0.127)
-    (uvias_allowed no)
-    (uvia_min_size 0.508)
-    (uvia_min_drill 0.127)
-    (pcb_text_width 0.3048)
-    (pcb_text_size 1.524 2.032)
-    (mod_edge_width 0.381)
-    (mod_text_size 1.524 1.524)
-    (mod_text_width 0.3048)
-    (pad_size 1.89992 1.89992)
-    (pad_drill 0)
-    (pad_to_mask_clearance 0.09906)
-    (aux_axis_origin 0 0)
-    (visible_elements FFFFFF7F)
-    (pcbplotparams
-      (layerselection 0x00030_80000001)
-      (usegerberextensions true)
-      (excludeedgelayer true)
-      (linewidth 0.150000)
-      (plotframeref false)
-      (viasonmask false)
-      (mode 1)
-      (useauxorigin false)
-      (hpglpennumber 1)
-      (hpglpenspeed 20)
-      (hpglpendiameter 15)
-      (hpglpenoverlay 0)
-      (psnegative false)
-      (psa4output false)
-      (plotreference true)
-      (plotvalue true)
-      (plotinvisibletext false)
-      (padsonsilk false)
-      (subtractmaskfromsilk false)
-      (outputformat 1)
-      (mirror false)
-      (drillshape 1)
-      (scaleselection 1)
-      (outputdirectory ""))
-  )
-
-  (net 0 "")
-  (net 1 +3.3V)
-  (net 2 +5V)
-  (net 3 /DM)
-  (net 4 /DP)
-  (net 5 /PA0)
-  (net 6 /PB0)
-  (net 7 /PB1)
-  (net 8 /PB2)
-  (net 9 /PB3)
-  (net 10 /PB4)
-  (net 11 /PB5)
-  (net 12 /PB6)
-  (net 13 /PB7)
-  (net 14 /RESET#)
-  (net 15 /SCL)
-  (net 16 /SDA)
-  (net 17 /WAKEUP)
-  (net 18 /XTALIN)
-  (net 19 /XTALOUT)
-  (net 20 GND)
-  (net 21 N-000005)
-  (net 22 N-000044)
-
-  (net_class Default "This is the default net class."
-    (clearance 0.1524)
-    (trace_width 0.127)
-    (via_dia 0.44958)
-    (via_drill 0.20066)
-    (uvia_dia 0.508)
-    (uvia_drill 0.127)
-    (add_net +3.3V)
-    (add_net +5V)
-    (add_net /DM)
-    (add_net /DP)
-    (add_net /PA0)
-    (add_net /PB0)
-    (add_net /PB1)
-    (add_net /PB2)
-    (add_net /PB3)
-    (add_net /PB4)
-    (add_net /PB5)
-    (add_net /PB6)
-    (add_net /PB7)
-    (add_net /RESET#)
-    (add_net /SCL)
-    (add_net /SDA)
-    (add_net /WAKEUP)
-    (add_net /XTALIN)
-    (add_net /XTALOUT)
-    (add_net GND)
-    (add_net N-000005)
-    (add_net N-000044)
-  )
-
-  (module SOT23-5 (layer Front) (tedit 4FCE8523) (tstamp 4FCBF54B)
-    (at 75.15098 41.39946 270)
-    (path /4FCAA78E)
-    (attr smd)
-    (fp_text reference U2 (at 2.19964 -0.29972 360) (layer F.SilkS)
-      (effects (font (size 0.635 0.635) (thickness 0.127)))
-    )
-    (fp_text value MIC5319 (at 18.2499 23.25116 270) (layer F.SilkS) hide
-      (effects (font (size 0.635 0.635) (thickness 0.127)))
-    )
-    (fp_line (start 1.524 -0.889) (end 1.524 0.889) (layer F.SilkS) (width 0.127))
-    (fp_line (start 1.524 0.889) (end -1.524 0.889) (layer F.SilkS) (width 0.127))
-    (fp_line (start -1.524 0.889) (end -1.524 -0.889) (layer F.SilkS) (width 0.127))
-    (fp_line (start -1.524 -0.889) (end 1.524 -0.889) (layer F.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.9525 1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask)
-      (net 2 +5V))
-    (pad 3 smd rect (at 0.9525 1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask)
-      (net 2 +5V))
-    (pad 5 smd rect (at -0.9525 -1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask)
-      (net 1 +3.3V))
-    (pad 2 smd rect (at 0 1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask)
-      (net 20 GND))
-    (pad 4 smd rect (at 0.9525 -1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask)
-      (net 21 N-000005))
-    (model smd/SOT23_5.wrl
-      (at (xyz 0 0 0))
-      (scale (xyz 0.1 0.1 0.1))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module QFN56 (layer Back) (tedit 4FCBF74A) (tstamp 4FCE8310)
-    (at 73.1012 43.89882 270)
-    (path /4FC8F245)
-    (fp_text reference IC1 (at 0 -0.50038 270) (layer B.SilkS) hide
-      (effects (font (thickness 0.3048)) (justify mirror))
-    )
-    (fp_text value CY7C68013A-56 (at 0.59944 21.69922 270) (layer B.SilkS) hide
-      (effects (font (thickness 0.3048)) (justify mirror))
-    )
-    (pad "" smd rect (at 0 0 270) (size 4.8006 5.4991) (layers Back B.Paste B.Mask)
-      (clearance 0.01016))
-    (pad 28 smd rect (at -3.25374 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 20 GND) (clearance 0.09906))
-    (pad 27 smd rect (at -2.75336 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V) (clearance 0.09906))
-    (pad 26 smd rect (at -2.25298 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 20 GND) (clearance 0.09906))
-    (pad 25 smd rect (at -1.7526 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 13 /PB7) (clearance 0.09906))
-    (pad 24 smd rect (at -1.25476 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 12 /PB6) (clearance 0.09906))
-    (pad 23 smd rect (at -0.75438 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 11 /PB5) (clearance 0.09906))
-    (pad 22 smd rect (at -0.254 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 10 /PB4) (clearance 0.09906))
-    (pad 21 smd rect (at 0.24638 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 9 /PB3) (clearance 0.09906))
-    (pad 20 smd rect (at 0.74676 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 8 /PB2) (clearance 0.09906))
-    (pad 19 smd rect (at 1.24714 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 7 /PB1) (clearance 0.09906))
-    (pad 18 smd rect (at 1.74752 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 6 /PB0) (clearance 0.09906))
-    (pad 17 smd rect (at 2.2479 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V) (clearance 0.09906))
-    (pad 16 smd rect (at 2.74574 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 16 /SDA) (clearance 0.09906))
-    (pad 15 smd rect (at 3.24612 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 15 /SCL) (clearance 0.09906))
-    (pad 29 smd rect (at -3.85318 -3.24612 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 30 smd rect (at -3.85318 -2.74574 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 31 smd rect (at -3.85318 -2.24536 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 32 smd rect (at -3.85318 -1.74498 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V) (clearance 0.09906))
-    (pad 33 smd rect (at -3.85318 -1.2446 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (net 5 /PA0) (clearance 0.09906))
-    (pad 34 smd rect (at -3.85318 -0.74422 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 35 smd rect (at -3.85318 -0.24384 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 36 smd rect (at -3.85318 0.254 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 37 smd rect (at -3.85318 0.75438 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 38 smd rect (at -3.85318 1.25476 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 39 smd rect (at -3.85318 1.75514 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 40 smd rect (at -3.85318 2.25552 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 41 smd rect (at -3.85318 2.7559 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (net 20 GND) (clearance 0.09906))
-    (pad 42 smd rect (at -3.85318 3.25374 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (net 14 /RESET#) (clearance 0.09906))
-    (pad 43 smd rect (at -3.25374 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V) (clearance 0.09906))
-    (pad 44 smd rect (at -2.80162 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 17 /WAKEUP) (clearance 0.09906))
-    (pad 45 smd rect (at -2.30378 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 46 smd rect (at -1.8034 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 47 smd rect (at -1.30302 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 48 smd rect (at -0.80264 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 49 smd rect (at -0.30226 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 50 smd rect (at 0.19812 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 51 smd rect (at 0.6985 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 52 smd rect (at 1.19634 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 53 smd rect (at 1.69672 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 20 GND) (clearance 0.09906))
-    (pad 54 smd rect (at 2.1971 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 55 smd rect (at 2.69748 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V) (clearance 0.09906))
-    (pad 56 smd rect (at 3.19786 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
-      (net 20 GND) (clearance 0.09906))
-    (pad 1 smd rect (at 3.7973 3.25628 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 2 smd rect (at 3.7973 2.7559 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 3 smd rect (at 3.7973 2.25552 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V) (clearance 0.09906))
-    (pad 4 smd rect (at 3.7973 1.75514 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (net 19 /XTALOUT) (clearance 0.09906))
-    (pad 5 smd rect (at 3.7973 1.25476 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (net 18 /XTALIN) (clearance 0.09906))
-    (pad 6 smd rect (at 3.7973 0.75438 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (net 20 GND) (clearance 0.09906))
-    (pad 7 smd rect (at 3.7973 0.254 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V) (clearance 0.09906))
-    (pad 8 smd rect (at 3.7973 -0.24384 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (net 4 /DP) (clearance 0.09906))
-    (pad 9 smd rect (at 3.7973 -0.74422 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (net 3 /DM) (clearance 0.09906))
-    (pad 10 smd rect (at 3.7973 -1.2446 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (net 20 GND) (clearance 0.09906))
-    (pad 11 smd rect (at 3.7973 -1.74498 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V) (clearance 0.09906))
-    (pad 12 smd rect (at 3.7973 -2.24536 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (net 20 GND) (clearance 0.09906))
-    (pad 13 smd rect (at 3.7973 -2.74574 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 14 smd rect (at 3.7973 -3.24612 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
-      (net 20 GND) (clearance 0.09906))
-  )
-
-  (module MICRO-USB-B-SMD (layer Front) (tedit 4FCE8BF5) (tstamp 4FCBF583)
-    (at 70.85076 44.74972 270)
-    (path /4FCAA5E6)
-    (fp_text reference U1 (at 1.6002 17.95018 270) (layer F.SilkS) hide
-      (effects (font (thickness 0.3048)))
-    )
-    (fp_text value MICRO-USB-B-SMD (at 2.99974 14.65072 270) (layer F.SilkS) hide
-      (effects (font (thickness 0.3048)))
-    )
-    (pad 1 smd rect (at -1.30048 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask)
-      (net 2 +5V) (clearance 0.09906))
-    (pad 2 smd rect (at -0.65024 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask)
-      (net 3 /DM) (clearance 0.09906))
-    (pad 3 smd rect (at 0 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask)
-      (net 4 /DP) (clearance 0.09906))
-    (pad 4 smd rect (at 0.65024 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask)
-      (clearance 0.09906))
-    (pad 5 smd rect (at 1.30048 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask)
-      (net 20 GND) (clearance 0.09906))
-    (pad 6 smd rect (at -3.0988 -0.8509 270) (size 2.10058 1.6002) (layers Front F.Paste F.Mask)
-      (net 20 GND) (clearance 0.29972))
-    (pad 7 smd rect (at 3.10134 -0.8509 270) (size 2.10058 1.6002) (layers Front F.Paste F.Mask)
-      (net 20 GND) (clearance 0.29972))
-    (pad 9 smd rect (at 3.8989 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask)
-      (net 20 GND) (clearance 0.29972))
-    (pad "" smd rect (at 1.19888 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask)
-      (clearance 0.29972))
-    (pad "" smd rect (at -1.19888 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask)
-      (clearance 0.29972))
-    (pad 8 smd rect (at -3.8989 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask)
-      (net 20 GND) (clearance 0.29972))
-  )
-
-  (module ABM11 (layer Back) (tedit 4FCC041D) (tstamp 4FCBF584)
-    (at 69.0245 49.62398 180)
-    (path /4FCBF38C)
-    (fp_text reference X1 (at 19.9009 0.20066 180) (layer B.SilkS)
-      (effects (font (thickness 0.3048)) (justify mirror))
-    )
-    (fp_text value QUARTZCMS4 (at -7.19836 21.30044 180) (layer B.SilkS)
-      (effects (font (thickness 0.3048)) (justify mirror))
-    )
-    (pad 1 smd rect (at -0.65024 -0.50038 180) (size 0.7493 0.65024) (layers Back B.Paste B.Mask)
-      (net 18 /XTALIN) (clearance 0.09906))
-    (pad 2 smd rect (at 0.6477 -0.50038 180) (size 0.7493 0.65024) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-    (pad 3 smd rect (at 0.6477 0.50038 180) (size 0.7493 0.65024) (layers Back B.Paste B.Mask)
-      (net 19 /XTALOUT) (clearance 0.09906))
-    (pad 4 smd rect (at -0.65024 0.50038 180) (size 0.7493 0.65024) (layers Back B.Paste B.Mask)
-      (clearance 0.09906))
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE9096) (tstamp 4FCBF580)
-    (at 80.05064 38.8493 270)
-    (path /4FCAA863)
-    (attr smd)
-    (fp_text reference C16 (at 0 0 270) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 2.2uF (at 0 0.635 270) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V))
-    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Front) (tedit 4FCE9564) (tstamp 4FCBF574)
-    (at 70.85076 39.34968 180)
-    (path /4FCAAEBC)
-    (attr smd)
-    (fp_text reference D1 (at 0 -0.04826 180) (layer F.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
-    )
-    (fp_text value LED1 (at 0 -0.635 180) (layer F.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
-    )
-    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
-      (net 22 N-000044))
-    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Front) (tedit 4FCE909E) (tstamp 4FCBF572)
-    (at 73.025 40.4495 270)
-    (path /4FCAA85F)
-    (attr smd)
-    (fp_text reference C14 (at 0 0 270) (layer F.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
-    )
-    (fp_text value 1uF (at 0 -0.635 270) (layer F.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
-    )
-    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
-      (net 2 +5V))
-    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Front) (tedit 4FCE90A1) (tstamp 4FCBF570)
-    (at 77.50048 39.29888 180)
-    (path /4FCAA86B)
-    (attr smd)
-    (fp_text reference C15 (at -0.04826 0.0508 180) (layer F.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
-    )
-    (fp_text value 100nF (at 0 -0.635 180) (layer F.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
-    )
-    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
-      (net 21 N-000005))
-    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE909B) (tstamp 4FCBF56E)
-    (at 74.6506 38.45052)
-    (path /4FCA97A0)
-    (attr smd)
-    (fp_text reference C13 (at 0 -0.0508) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 100nF (at 0 0.635) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V))
-    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE9094) (tstamp 4FCBF56A)
-    (at 69.37502 39.34968)
-    (path /4FCAA3E6)
-    (attr smd)
-    (fp_text reference R2 (at 0 0) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 2K7 (at 0 0.635) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V))
-    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 14 /RESET#))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE90AA) (tstamp 4FCBF54C)
-    (at 78.75016 38.9001 270)
-    (path /4FCA9724)
-    (attr smd)
-    (fp_text reference C10 (at -0.0508 -0.0508 270) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 100nF (at 0 0.635 270) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V))
-    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE9060) (tstamp 4FCE905B)
-    (at 78.24978 49.79924 270)
-    (path /4FCA9793)
-    (attr smd)
-    (fp_text reference C7 (at 0 -0.0508 270) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 100nF (at 0 0.635 270) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V))
-    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE9854) (tstamp 4FCBF566)
-    (at 76.1492 38.69944 90)
-    (path /4FCA9777)
-    (attr smd)
-    (fp_text reference C5 (at 0 0 90) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 100nF (at 0 0.635 90) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V))
-    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE9076) (tstamp 4FCBF564)
-    (at 75.25004 48.65116)
-    (path /4FCA96AB)
-    (attr smd)
-    (fp_text reference C2 (at -0.00254 -0.00254) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 100nF (at 0 0.635) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V))
-    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE907D) (tstamp 515DB414)
-    (at 68.3006 46.0502 90)
-    (path /4FCA9799)
-    (attr smd)
-    (fp_text reference C12 (at 0 0 90) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 100nF (at 0 0.635 90) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V))
-    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE9086) (tstamp 4FCBF560)
-    (at 74.00036 49.2506 90)
-    (path /4FCA9C86)
-    (attr smd)
-    (fp_text reference C11 (at -0.00254 0 90) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 100nF (at 0 0.635 90) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V))
-    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE90AC) (tstamp 4FCBF55E)
-    (at 74.89952 49.79924 270)
-    (path /4FCA9C79)
-    (attr smd)
-    (fp_text reference C6 (at 0 0.0508 270) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 2.2uF (at 0 0.635 270) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V))
-    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE908E) (tstamp 4FCBF55C)
-    (at 71.00062 50.14976)
-    (path /4FC8F6F8)
-    (attr smd)
-    (fp_text reference C4 (at 0 0) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 12pF (at 0 0.635) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 18 /XTALIN))
-    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE90AE) (tstamp 4FCBF55A)
-    (at 68.3006 47.85106 90)
-    (path /4FC8F6F5)
-    (attr smd)
-    (fp_text reference C9 (at 0 0 90) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 12pF (at 0 0.635 90) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 19 /XTALOUT))
-    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Front) (tedit 4FCE9081) (tstamp 4FCE9F16)
-    (at 69.25056 39.34968)
-    (path /4FCBEB98)
-    (attr smd)
-    (fp_text reference C1 (at 0 0.04826) (layer F.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
-    )
-    (fp_text value 1uF (at 0 -0.635) (layer F.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
-    )
-    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
-      (net 1 +3.3V))
-    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE9556) (tstamp 4FCBF556)
-    (at 68.3006 41.12514 270)
-    (path /4FCA95B2)
-    (attr smd)
-    (fp_text reference R1 (at 0 0 270) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 100K (at 0 0.635 270) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V))
-    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 17 /WAKEUP))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Front) (tedit 4FCE90B3) (tstamp 4FCBF552)
-    (at 72.45096 39.34968)
-    (path /4FCAAEBE)
-    (attr smd)
-    (fp_text reference R4 (at 0 0.0508) (layer F.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
-    )
-    (fp_text value 2K7 (at 0 -0.635) (layer F.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
-    )
-    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
-      (net 22 N-000044))
-    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
-      (net 5 /PA0))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE905E) (tstamp 4FCBF550)
-    (at 71.89978 49.276 180)
-    (path /4FCA9C5D)
-    (attr smd)
-    (fp_text reference C3 (at -0.00254 0.0508 180) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 2.2uF (at 0 0.635 180) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V))
-    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE9065) (tstamp 4FCBF54E)
-    (at 72.79894 50.14976 180)
-    (path /4FCA9C7E)
-    (attr smd)
-    (fp_text reference C8 (at 0 -0.0508 180) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 100nF (at 0 0.635 180) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V))
-    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 20 GND))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module SM0402 (layer Back) (tedit 4FCE90A9) (tstamp 4FCBF56C)
-    (at 79.49946 48.90008 270)
-    (path /4FCA93BD)
-    (attr smd)
-    (fp_text reference R3 (at 0 0.00254 270) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 2K7 (at 0 0.635 270) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 16 /SDA))
-    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (module CONN-5x1-SMD-127MM (layer Back) (tedit 515DA9CB) (tstamp 5151CF2A)
-    (at 79.2988 43.35018 270)
-    (path /5150B98F)
-    (fp_text reference P2 (at 20.78482 4.1148 270) (layer B.SilkS)
-      (effects (font (thickness 0.3048)) (justify mirror))
-    )
-    (fp_text value CONN_5 (at 20.91182 7.2898 270) (layer B.SilkS)
-      (effects (font (thickness 0.3048)) (justify mirror))
-    )
-    (pad 1 smd rect (at -2.17932 -0.16002 270) (size 0.75946 2.4003) (layers Back B.Paste B.Mask)
-      (net 20 GND) (clearance 0.0508))
-    (pad 2 smd rect (at -0.90424 -0.16002 270) (size 0.75946 2.4003) (layers Back B.Paste B.Mask)
-      (net 13 /PB7) (clearance 0.0508))
-    (pad 3 smd rect (at 0.37084 -0.16002 270) (size 0.75946 2.4003) (layers Back B.Paste B.Mask)
-      (net 11 /PB5) (clearance 0.0508))
-    (pad 4 smd rect (at 1.64592 -0.16002 270) (size 0.75946 2.4003) (layers Back B.Paste B.Mask)
-      (net 9 /PB3) (clearance 0.0508))
-    (pad 5 smd rect (at 2.921 -0.16002 270) (size 0.75946 2.4003) (layers Back B.Paste B.Mask)
-      (net 7 /PB1) (clearance 0.0508))
-  )
-
-  (module CONN-5x1-SMD-127MM (layer Front) (tedit 515DA9C8) (tstamp 4FCD3C8E)
-    (at 79.2988 44.09948 90)
-    (path /5150B984)
-    (fp_text reference P1 (at -19.52752 7.9502 90) (layer F.SilkS)
-      (effects (font (thickness 0.3048)))
-    )
-    (fp_text value CONN_5 (at -19.40052 5.1562 90) (layer F.SilkS)
-      (effects (font (thickness 0.3048)))
-    )
-    (pad 1 smd rect (at -2.17932 0.16002 90) (size 0.75946 2.4003) (layers Front F.Paste F.Mask)
-      (net 6 /PB0) (clearance 0.0508))
-    (pad 2 smd rect (at -0.90424 0.16002 90) (size 0.75946 2.4003) (layers Front F.Paste F.Mask)
-      (net 8 /PB2) (clearance 0.0508))
-    (pad 3 smd rect (at 0.37084 0.16002 90) (size 0.75946 2.4003) (layers Front F.Paste F.Mask)
-      (net 10 /PB4) (clearance 0.0508))
-    (pad 4 smd rect (at 1.64592 0.16002 90) (size 0.75946 2.4003) (layers Front F.Paste F.Mask)
-      (net 12 /PB6) (clearance 0.0508))
-    (pad 5 smd rect (at 2.921 0.16002 90) (size 0.75946 2.4003) (layers Front F.Paste F.Mask)
-      (net 20 GND) (clearance 0.0508))
-  )
-
-  (module SM0402 (layer Back) (tedit 5151D5A0) (tstamp 5151D57D)
-    (at 77.0509 49.2506 270)
-    (path /5151D52F)
-    (attr smd)
-    (fp_text reference R5 (at 0 0 270) (layer B.SilkS)
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_text value 2K7 (at 0 0.635 270) (layer B.SilkS) hide
-      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
-    )
-    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
-    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
-    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 15 /SCL))
-    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
-      (net 1 +3.3V))
-    (model smd\chip_cms.wrl
-      (at (xyz 0 0 0.002))
-      (scale (xyz 0.05 0.05 0.05))
-      (rotate (xyz 0 0 0))
-    )
-  )
-
-  (gr_line (start 79.70012 50.7492) (end 80.70088 50.7492) (angle 90) (layer Edge.Cuts) (width 0.0254))
-  (gr_line (start 79.70012 37.74948) (end 80.70088 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254))
-  (gr_line (start 80.70088 38.75024) (end 80.70088 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254))
-  (gr_line (start 67.70116 38.75024) (end 67.70116 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254))
-  (gr_line (start 79.70012 37.74948) (end 67.70116 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254))
-  (gr_line (start 80.70088 50.7492) (end 80.70088 38.75024) (angle 90) (layer Edge.Cuts) (width 0.0254))
-  (gr_line (start 67.70116 50.7492) (end 79.70012 50.7492) (angle 90) (layer Edge.Cuts) (width 0.0254))
-  (gr_line (start 67.70116 38.75024) (end 67.70116 50.7492) (angle 90) (layer Edge.Cuts) (width 0.0254))
-
-  (segment (start 74.84618 47.69612) (end 74.84618 48.60544) (width 0.127) (layer Back) (net 1))
-  (segment (start 75.0443 39.19982) (end 74.84618 39.39794) (width 0.127) (layer Back) (net 1))
-  (segment (start 74.35088 49.70018) (end 74.00036 49.70018) (width 0.127) (layer Back) (net 1))
-  (segment (start 68.3006 40.62476) (end 68.3006 39.32428) (width 0.127) (layer Back) (net 1))
-  (segment (start 74.80046 48.65116) (end 74.80046 49.2506) (width 0.127) (layer Back) (net 1))
-  (segment (start 74.80046 49.2506) (end 74.89952 49.34966) (width 0.127) (layer Back) (net 1))
-  (segment (start 73.24852 49.9999) (end 72.52462 49.276) (width 0.127) (layer Back) (net 1))
-  (segment (start 76.42098 40.44696) (end 76.42098 40.31996) (width 0.127) (layer Front) (net 1))
-  (segment (start 78.80096 38.39972) (end 78.75016 38.45052) (width 0.127) (layer Back) (net 1))
-  (segment (start 79.49946 49.34966) (end 78.24978 49.34966) (width 0.127) (layer Back) (net 1))
-  (segment (start 70.84568 47.15256) (end 70.84568 47.69612) (width 0.127) (layer Back) (net 1))
-  (segment (start 75.57262 46.55058) (end 75.94854 46.55058) (width 0.127) (layer Back) (net 1))
-  (segment (start 77.29982 49.70018) (end 77.65034 49.34966) (width 0.127) (layer Back) (net 1))
-  (segment (start 72.52462 49.276) (end 72.34936 49.276) (width 0.127) (layer Back) (net 1))
-  (segment (start 77.0509 49.70018) (end 77.29982 49.70018) (width 0.127) (layer Back) (net 1))
-  (via (at 68.3006 39.32428) (size 0.44958) (layers Front Back) (net 1))
-  (segment (start 76.49972 49.34966) (end 76.85024 49.70018) (width 0.127) (layer Back) (net 1))
-  (segment (start 76.85024 49.70018) (end 77.0509 49.70018) (width 0.127) (layer Back) (net 1))
-  (segment (start 69.8246 46.94936) (end 69.8246 46.64964) (width 0.127) (layer Back) (net 1))
-  (segment (start 75.49896 49.34966) (end 76.49972 49.34966) (width 0.127) (layer Back) (net 1))
-  (segment (start 69.215 40.67556) (end 69.24548 40.64508) (width 0.127) (layer Back) (net 1))
-  (segment (start 76.34478 41.14546) (end 75.90028 40.70096) (width 0.127) (layer Back) (net 1))
-  (segment (start 75.90028 40.70096) (end 75.15098 40.70096) (width 0.127) (layer Back) (net 1))
-  (segment (start 75.15098 40.70096) (end 75.04938 40.59936) (width 0.127) (layer Back) (net 1))
-  (segment (start 75.04938 40.59936) (end 74.89952 40.59936) (width 0.127) (layer Back) (net 1))
-  (segment (start 74.89952 40.59936) (end 74.84618 40.54602) (width 0.127) (layer Back) (net 1))
-  (segment (start 74.84618 40.54602) (end 74.84618 40.04564) (width 0.127) (layer Back) (net 1))
-  (segment (start 77.65034 49.34966) (end 78.24978 49.34966) (width 0.127) (layer Back) (net 1))
-  (segment (start 68.3006 40.67556) (end 69.215 40.67556) (width 0.127) (layer Back) (net 1))
-  (segment (start 80.05064 38.39972) (end 78.80096 38.39972) (width 0.127) (layer Back) (net 1))
-  (segment (start 72.8472 48.95342) (end 72.52462 49.276) (width 0.127) (layer Back) (net 1))
-  (segment (start 74.7014 49.34966) (end 74.35088 49.70018) (width 0.127) (layer Back) (net 1))
-  (segment (start 76.29906 46.20006) (end 76.89342 46.20006) (width 0.127) (layer Back) (net 1))
-  (segment (start 71.00062 47.09922) (end 70.89902 47.09922) (width 0.127) (layer Back) (net 1))
-  (segment (start 73.8759 50.14976) (end 73.24852 50.14976) (width 0.127) (layer Back) (net 1))
-  (segment (start 72.52462 49.276) (end 72.34936 49.276) (width 0.127) (layer Back) (net 1))
-  (segment (start 74.00036 50.0253) (end 73.8759 50.14976) (width 0.127) (layer Back) (net 1))
-  (segment (start 70.89902 47.09922) (end 70.84568 47.15256) (width 0.127) (layer Back) (net 1))
-  (segment (start 69.14896 46.49978) (end 69.24548 46.5963) (width 0.127) (layer Back) (net 1))
-  (segment (start 74.20102 38.75278) (end 74.84618 39.39794) (width 0.127) (layer Back) (net 1))
-  (segment (start 76.89342 46.20006) (end 76.94676 46.14672) (width 0.127) (layer Back) (net 1))
-  (segment (start 74.84618 47.27702) (end 75.57262 46.55058) (width 0.127) (layer Back) (net 1))
-  (segment (start 73.24852 50.14976) (end 73.24852 49.9999) (width 0.127) (layer Back) (net 1))
-  (segment (start 72.74814 47.09922) (end 71.00062 47.09922) (width 0.127) (layer Back) (net 1))
-  (segment (start 72.8472 47.69612) (end 72.8472 48.95342) (width 0.127) (layer Back) (net 1))
-  (segment (start 68.92544 39.34968) (end 68.326 39.34968) (width 0.127) (layer Back) (net 1))
-  (segment (start 68.326 39.34968) (end 68.3006 39.32428) (width 0.127) (layer Back) (net 1))
-  (segment (start 68.326 39.34968) (end 68.80098 39.34968) (width 0.127) (layer Front) (net 1))
-  (segment (start 74.00036 49.70018) (end 74.00036 50.0253) (width 0.127) (layer Back) (net 1))
-  (segment (start 68.3006 46.49978) (end 69.14896 46.49978) (width 0.127) (layer Back) (net 1))
-  (segment (start 72.8472 47.69612) (end 72.8472 47.19828) (width 0.127) (layer Back) (net 1))
-  (segment (start 72.8472 47.19828) (end 72.74814 47.09922) (width 0.127) (layer Back) (net 1))
-  (segment (start 74.84618 39.39794) (end 74.84618 40.04564) (width 0.127) (layer Back) (net 1))
-  (segment (start 68.3006 40.67556) (end 68.3006 40.62476) (width 0.127) (layer Back) (net 1))
-  (segment (start 75.94854 46.55058) (end 76.29906 46.20006) (width 0.127) (layer Back) (net 1))
-  (segment (start 74.84618 47.69612) (end 74.84618 47.27702) (width 0.127) (layer Back) (net 1))
-  (segment (start 74.84618 48.60544) (end 74.80046 48.65116) (width 0.127) (layer Back) (net 1))
-  (segment (start 74.89952 49.34966) (end 75.49896 49.34966) (width 0.127) (layer Back) (net 1))
-  (segment (start 76.94676 41.14546) (end 76.34478 41.14546) (width 0.127) (layer Back) (net 1))
-  (segment (start 69.8246 46.64964) (end 69.77126 46.5963) (width 0.127) (layer Back) (net 1))
-  (segment (start 69.97446 47.09922) (end 69.8246 46.94936) (width 0.127) (layer Back) (net 1))
-  (segment (start 75.30084 39.19982) (end 75.0443 39.19982) (width 0.127) (layer Back) (net 1))
-  (segment (start 77.39888 39.14902) (end 78.09738 38.45052) (width 0.127) (layer Back) (net 1))
-  (segment (start 78.09738 38.45052) (end 78.75016 38.45052) (width 0.127) (layer Back) (net 1))
-  (segment (start 76.1492 39.14902) (end 77.39888 39.14902) (width 0.127) (layer Back) (net 1))
-  (segment (start 76.42098 40.31996) (end 75.30084 39.19982) (width 0.127) (layer Front) (net 1))
-  (via (at 75.30084 39.19982) (size 0.44958) (layers Front Back) (net 1))
-  (segment (start 75.30084 39.19982) (end 75.35164 39.14902) (width 0.127) (layer Back) (net 1))
-  (segment (start 69.77126 46.5963) (end 69.24548 46.5963) (width 0.127) (layer Back) (net 1))
-  (segment (start 74.89952 49.34966) (end 74.7014 49.34966) (width 0.127) (layer Back) (net 1))
-  (segment (start 74.20102 38.45052) (end 74.20102 38.75278) (width 0.127) (layer Back) (net 1))
-  (segment (start 68.3006 39.32428) (end 68.326 39.34968) (width 0.127) (layer Front) (net 1))
-  (segment (start 68.80098 38.69944) (end 69.0499 38.45052) (width 0.127) (layer Front) (net 1))
-  (segment (start 69.0499 38.45052) (end 72.34936 38.45052) (width 0.127) (layer Front) (net 1))
-  (via (at 72.34936 38.45052) (size 0.44958) (layers Front Back) (net 1))
-  (segment (start 72.34936 38.45052) (end 74.20102 38.45052) (width 0.127) (layer Back) (net 1))
-  (segment (start 68.80098 39.34968) (end 68.80098 38.69944) (width 0.127) (layer Front) (net 1))
-  (segment (start 70.89902 47.09922) (end 69.97446 47.09922) (width 0.127) (layer Back) (net 1))
-  (segment (start 75.35164 39.14902) (end 76.1492 39.14902) (width 0.127) (layer Back) (net 1))
-  (segment (start 72.37476 43.44924) (end 72.9742 42.8498) (width 0.127) (layer Front) (net 2))
-  (segment (start 73.47204 42.35196) (end 73.88098 42.35196) (width 0.127) (layer Front) (net 2))
-  (segment (start 71.80072 43.44924) (end 72.37476 43.44924) (width 0.127) (layer Front) (net 2))
-  (segment (start 73.43394 39.99992) (end 73.88098 40.44696) (width 0.127) (layer Front) (net 2))
-  (segment (start 73.025 39.99992) (end 73.43394 39.99992) (width 0.127) (layer Front) (net 2))
-  (segment (start 72.9742 42.8498) (end 73.47204 42.35196) (width 0.127) (layer Front) (net 2))
-  (segment (start 73.88098 40.44696) (end 74.89698 40.44696) (width 0.127) (layer Front) (net 2))
-  (segment (start 74.79792 42.35196) (end 73.88098 42.35196) (width 0.127) (layer Front) (net 2))
-  (segment (start 75.30084 41.84904) (end 74.79792 42.35196) (width 0.127) (layer Front) (net 2))
-  (segment (start 74.89698 40.44696) (end 75.30084 40.85082) (width 0.127) (layer Front) (net 2))
-  (segment (start 75.30084 40.85082) (end 75.30084 41.84904) (width 0.127) (layer Front) (net 2))
-  (segment (start 73.75398 46.8249) (end 73.8505 46.8249) (width 0.127) (layer Front) (net 3))
-  (segment (start 73.1774 45.15104) (end 73.1774 46.24832) (width 0.127) (layer Front) (net 3))
-  (segment (start 73.84542 46.82998) (end 73.84542 47.69612) (width 0.127) (layer Back) (net 3))
-  (segment (start 72.75068 44.72432) (end 73.1774 45.15104) (width 0.127) (layer Front) (net 3))
-  (segment (start 72.75068 44.42714) (end 72.75068 44.72432) (width 0.127) (layer Front) (net 3))
-  (segment (start 73.1774 46.24832) (end 73.75398 46.8249) (width 0.127) (layer Front) (net 3))
-  (segment (start 72.42302 44.09948) (end 72.75068 44.42714) (width 0.127) (layer Front) (net 3))
-  (segment (start 73.8505 46.8249) (end 73.84542 46.82998) (width 0.127) (layer Back) (net 3))
-  (segment (start 71.80072 44.09948) (end 72.42302 44.09948) (width 0.127) (layer Front) (net 3))
-  (via (at 73.8505 46.8249) (size 0.44958) (layers Front Back) (net 3))
-  (segment (start 72.82434 45.22216) (end 72.82434 46.55058) (width 0.127) (layer Front) (net 4))
-  (segment (start 73.14946 46.8757) (end 73.34504 47.07128) (width 0.127) (layer Back) (net 4))
-  (segment (start 71.80072 44.74972) (end 72.34936 44.74972) (width 0.127) (layer Front) (net 4))
-  (segment (start 73.34504 47.07128) (end 73.34504 47.69612) (width 0.127) (layer Back) (net 4))
-  (segment (start 72.72782 45.12564) (end 72.82434 45.22216) (width 0.127) (layer Front) (net 4))
-  (segment (start 72.72528 45.12564) (end 72.72782 45.12564) (width 0.127) (layer Front) (net 4))
-  (segment (start 72.34936 44.74972) (end 72.72528 45.12564) (width 0.127) (layer Front) (net 4))
-  (via (at 73.14946 46.8757) (size 0.44958) (layers Front Back) (net 4))
-  (segment (start 72.82434 46.55058) (end 73.14946 46.8757) (width 0.127) (layer Front) (net 4))
-  (segment (start 73.8505 39.27602) (end 73.87336 39.29888) (width 0.127) (layer Back) (net 5))
-  (segment (start 73.87336 39.29888) (end 74.17562 39.29888) (width 0.127) (layer Back) (net 5))
-  (segment (start 72.90054 39.34968) (end 73.77684 39.34968) (width 0.127) (layer Front) (net 5))
-  (segment (start 74.3458 39.46906) (end 74.3458 40.04564) (width 0.127) (layer Back) (net 5))
-  (segment (start 73.77684 39.34968) (end 73.8505 39.27602) (width 0.127) (layer Front) (net 5))
-  (segment (start 74.17562 39.29888) (end 74.3458 39.46906) (width 0.127) (layer Back) (net 5))
-  (via (at 73.8505 39.27602) (size 0.44958) (layers Front Back) (net 5))
-  (segment (start 76.2508 45.64888) (end 76.88072 46.2788) (width 0.127) (layer Front) (net 6))
-  (segment (start 76.25334 45.64634) (end 76.2508 45.64888) (width 0.127) (layer Back) (net 6))
-  (segment (start 76.94676 45.64634) (end 76.25334 45.64634) (width 0.127) (layer Back) (net 6))
-  (segment (start 76.88072 46.2788) (end 79.45882 46.2788) (width 0.127) (layer Front) (net 6))
-  (via (at 76.2508 45.64888) (size 0.44958) (layers Front Back) (net 6))
-  (segment (start 77.4954 45.14596) (end 77.59954 45.2501) (width 0.127) (layer Back) (net 7))
-  (segment (start 77.59954 45.80128) (end 78.06944 46.27118) (width 0.127) (layer Back) (net 7))
-  (segment (start 77.59954 45.2501) (end 77.59954 45.80128) (width 0.127) (layer Back) (net 7))
-  (segment (start 76.94676 45.14596) (end 77.4954 45.14596) (width 0.127) (layer Back) (net 7))
-  (segment (start 78.06944 46.27118) (end 79.45882 46.27118) (width 0.127) (layer Back) (net 7))
-  (segment (start 76.25588 44.64558) (end 76.2508 44.65066) (width 0.127) (layer Back) (net 8))
-  (segment (start 76.60386 45.00372) (end 79.45882 45.00372) (width 0.127) (layer Front) (net 8))
-  (via (at 76.2508 44.65066) (size 0.44958) (layers Front Back) (net 8))
-  (segment (start 76.2508 44.65066) (end 76.60386 45.00372) (width 0.127) (layer Front) (net 8))
-  (segment (start 76.94676 44.64558) (end 76.25588 44.64558) (width 0.127) (layer Back) (net 8))
-  (segment (start 78.3971 44.9961) (end 79.45882 44.9961) (width 0.127) (layer Back) (net 9))
-  (segment (start 76.94676 44.1452) (end 77.5462 44.1452) (width 0.127) (layer Back) (net 9))
-  (segment (start 77.5462 44.1452) (end 78.3971 44.9961) (width 0.127) (layer Back) (net 9))
-  (segment (start 76.94676 43.64482) (end 76.25588 43.64482) (width 0.127) (layer Back) (net 10))
-  (segment (start 76.32954 43.72864) (end 79.45882 43.72864) (width 0.127) (layer Front) (net 10))
-  (segment (start 76.25588 43.64482) (end 76.2508 43.6499) (width 0.127) (layer Back) (net 10))
-  (via (at 76.2508 43.6499) (size 0.44958) (layers Front Back) (net 10))
-  (segment (start 76.2508 43.6499) (end 76.32954 43.72864) (width 0.127) (layer Front) (net 10))
-  (segment (start 77.64526 43.14444) (end 78.22184 43.72102) (width 0.127) (layer Back) (net 11))
-  (segment (start 78.22184 43.72102) (end 79.45882 43.72102) (width 0.127) (layer Back) (net 11))
-  (segment (start 76.94676 43.14444) (end 77.64526 43.14444) (width 0.127) (layer Back) (net 11))
-  (segment (start 76.94676 42.64406) (end 77.74432 42.64406) (width 0.127) (layer Back) (net 12))
-  (segment (start 77.74432 42.64406) (end 77.8002 42.69994) (width 0.127) (layer Back) (net 12))
-  (segment (start 77.8002 42.69994) (end 78.04658 42.45356) (width 0.127) (layer Front) (net 12))
-  (segment (start 78.04658 42.45356) (end 79.45882 42.45356) (width 0.127) (layer Front) (net 12))
-  (via (at 77.8002 42.69994) (size 0.44958) (layers Front Back) (net 12))
-  (segment (start 77.65288 41.9989) (end 78.39964 41.9989) (width 0.127) (layer Back) (net 13))
-  (segment (start 77.50556 42.14622) (end 77.65288 41.9989) (width 0.127) (layer Back) (net 13))
-  (segment (start 78.39964 41.9989) (end 78.84668 42.44594) (width 0.127) (layer Back) (net 13))
-  (segment (start 76.94676 42.14622) (end 77.50556 42.14622) (width 0.127) (layer Back) (net 13))
-  (segment (start 78.84668 42.44594) (end 79.45882 42.44594) (width 0.127) (layer Back) (net 13))
-  (segment (start 69.8246 39.34968) (end 69.8246 40.02278) (width 0.127) (layer Back) (net 14))
-  (segment (start 69.8246 40.02278) (end 69.84746 40.04564) (width 0.127) (layer Back) (net 14))
-  (segment (start 77.0509 47.24908) (end 76.94676 47.14494) (width 0.127) (layer Back) (net 15))
-  (segment (start 77.0509 48.80102) (end 77.0509 47.24908) (width 0.127) (layer Back) (net 15))
-  (segment (start 77.7494 46.70044) (end 77.00264 46.70044) (width 0.127) (layer Back) (net 16))
-  (segment (start 77.00264 46.70044) (end 76.94676 46.64456) (width 0.127) (layer Back) (net 16))
-  (segment (start 79.49946 48.4505) (end 77.7494 46.70044) (width 0.127) (layer Back) (net 16))
-  (segment (start 68.77812 41.0972) (end 68.3006 41.57472) (width 0.127) (layer Back) (net 17))
-  (segment (start 69.24548 41.0972) (end 68.77812 41.0972) (width 0.127) (layer Back) (net 17))
-  (segment (start 71.84644 47.69612) (end 71.84644 48.22698) (width 0.127) (layer Back) (net 18))
-  (segment (start 70.52564 50.12436) (end 70.55104 50.14976) (width 0.127) (layer Back) (net 18))
-  (segment (start 71.42226 48.65116) (end 70.74916 48.65116) (width 0.127) (layer Back) (net 18))
-  (segment (start 70.55104 48.84928) (end 70.55104 50.14976) (width 0.127) (layer Back) (net 18))
-  (segment (start 69.67474 50.12436) (end 70.52564 50.12436) (width 0.127) (layer Back) (net 18))
-  (segment (start 70.74916 48.65116) (end 70.55104 48.84928) (width 0.127) (layer Back) (net 18))
-  (segment (start 71.84644 48.22698) (end 71.42226 48.65116) (width 0.127) (layer Back) (net 18))
-  (segment (start 68.3006 48.30064) (end 68.3006 49.0474) (width 0.127) (layer Back) (net 19))
-  (segment (start 68.3006 49.0474) (end 68.3768 49.1236) (width 0.127) (layer Back) (net 19))
-  (segment (start 71.22414 48.30064) (end 68.3006 48.30064) (width 0.127) (layer Back) (net 19))
-  (segment (start 71.34606 48.17872) (end 71.22414 48.30064) (width 0.127) (layer Back) (net 19))
-  (segment (start 71.34606 47.69612) (end 71.34606 48.17872) (width 0.127) (layer Back) (net 19))
-  (segment (start 71.95312 41.39946) (end 71.70166 41.65092) (width 0.127) (layer Front) (net 20))
-  (segment (start 73.025 40.89908) (end 72.4535 40.89908) (width 0.127) (layer Front) (net 20))
-  (via (at 73.20026 49.2506) (size 0.44958) (layers Front Back) (net 20))
-  (segment (start 75.35164 50.24882) (end 74.89952 50.24882) (width 0.127) (layer Back) (net 20))
-  (segment (start 75.80122 49.79924) (end 75.35164 50.24882) (width 0.127) (layer Back) (net 20))
-  (via (at 75.80122 49.79924) (size 0.44958) (layers Front Back) (net 20))
-  (segment (start 75.25258 49.2506) (end 75.80122 49.79924) (width 0.127) (layer Front) (net 20))
-  (segment (start 74.00036 48.80102) (end 73.64984 48.80102) (width 0.127) (layer Back) (net 20))
-  (segment (start 73.88098 41.39946) (end 74.44994 41.39946) (width 0.127) (layer Front) (net 20))
-  (segment (start 71.4502 49.276) (end 71.4502 50.14976) (width 0.127) (layer Back) (net 20))
-  (segment (start 73.88098 41.39946) (end 71.95312 41.39946) (width 0.127) (layer Front) (net 20))
-  (segment (start 74.89952 50.24882) (end 78.24978 50.24882) (width 0.127) (layer Back) (net 20))
-  (segment (start 69.1515 48.64862) (end 70.9041 48.64862) (width 0.127) (layer Front) (net 20))
-  (segment (start 70.9041 48.64862) (end 71.70166 47.85106) (width 0.127) (layer Front) (net 20))
-  (segment (start 74.3458 48.45558) (end 74.00036 48.80102) (width 0.127) (layer Back) (net 20))
-  (segment (start 70.3453 40.04564) (end 70.3453 40.72128) (width 0.127) (layer Back) (net 20))
-  (segment (start 76.34732 48.42764) (end 76.1238 48.65116) (width 0.127) (layer Back) (net 20))
-  (segment (start 72.4535 40.89908) (end 71.70166 41.65092) (width 0.127) (layer Front) (net 20))
-  (segment (start 68.6054 47.09668) (end 68.3006 47.40148) (width 0.127) (layer Back) (net 20))
-  (segment (start 74.44994 41.39946) (end 74.80046 41.04894) (width 0.127) (layer Front) (net 20))
-  (via (at 74.80046 41.04894) (size 0.44958) (layers Front Back) (net 20))
-  (segment (start 76.34732 47.07128) (end 76.2 46.92396) (width 0.127) (layer Back) (net 20))
-  (segment (start 80.05064 40.57904) (end 79.45882 41.17086) (width 0.127) (layer Back) (net 20))
-  (via (at 77.39888 40.05072) (size 0.44958) (layers Front Back) (net 20))
-  (segment (start 80.05064 39.29888) (end 80.05064 40.57904) (width 0.127) (layer Back) (net 20))
-  (segment (start 77.39888 40.05072) (end 76.94676 40.50284) (width 0.127) (layer Back) (net 20))
-  (segment (start 77.0509 39.29888) (end 77.0509 39.70274) (width 0.127) (layer Front) (net 20))
-  (segment (start 76.44638 41.64584) (end 76.94676 41.64584) (width 0.127) (layer Back) (net 20))
-  (segment (start 74.85126 41.09974) (end 75.90028 41.09974) (width 0.127) (layer Back) (net 20))
-  (segment (start 75.90028 41.09974) (end 76.44638 41.64584) (width 0.127) (layer Back) (net 20))
-  (segment (start 74.80046 41.04894) (end 74.85126 41.09974) (width 0.127) (layer Back) (net 20))
-  (segment (start 78.07198 41.17848) (end 79.45882 41.17848) (width 0.127) (layer Front) (net 20))
-  (segment (start 76.34732 47.69612) (end 76.34732 47.07128) (width 0.127) (layer Back) (net 20))
-  (segment (start 75.34656 48.2981) (end 75.69962 48.65116) (width 0.127) (layer Back) (net 20))
-  (segment (start 75.30084 38.24986) (end 75.10018 38.45052) (width 0.127) (layer Back) (net 20))
-  (segment (start 77.0509 39.70274) (end 77.39888 40.05072) (width 0.127) (layer Front) (net 20))
-  (segment (start 76.94676 40.50284) (end 76.94676 40.64508) (width 0.127) (layer Back) (net 20))
-  (segment (start 71.80072 47.85106) (end 71.70166 47.85106) (width 0.127) (layer Front) (net 20))
-  (segment (start 76.94676 41.64584) (end 77.60462 41.64584) (width 0.127) (layer Back) (net 20))
-  (via (at 77.8002 41.45026) (size 0.44958) (layers Front Back) (net 20))
-  (segment (start 73.20026 49.2506) (end 71.80072 47.85106) (width 0.127) (layer Front) (net 20))
-  (segment (start 70.3453 40.72128) (end 70.50024 40.87622) (width 0.127) (layer Back) (net 20))
-  (segment (start 71.4502 50.14976) (end 72.34936 50.14976) (width 0.127) (layer Back) (net 20))
-  (segment (start 77.8002 41.45026) (end 78.07198 41.17848) (width 0.127) (layer Front) (net 20))
-  (segment (start 74.47534 46.9011) (end 74.3458 47.03064) (width 0.127) (layer Back) (net 20))
-  (segment (start 74.3458 47.69612) (end 74.3458 48.45558) (width 0.127) (layer Back) (net 20))
-  (segment (start 76.34732 47.69612) (end 76.34732 48.42764) (width 0.127) (layer Back) (net 20))
-  (segment (start 70.44944 39.34968) (end 70.74916 39.6494) (width 0.127) (layer Front) (net 20))
-  (segment (start 70.74916 39.6494) (end 70.74916 40.45204) (width 0.127) (layer Front) (net 20))
-  (segment (start 71.27494 41.65092) (end 71.70166 41.65092) (width 0.127) (layer Front) (net 20))
-  (segment (start 70.50024 40.87622) (end 71.27494 41.65092) (width 0.127) (layer Front) (net 20))
-  (segment (start 74.3458 47.03064) (end 74.3458 47.69612) (width 0.127) (layer Back) (net 20))
-  (via (at 70.50024 40.87622) (size 0.44958) (layers Front Back) (net 20))
-  (segment (start 68.3006 45.60062) (end 69.2404 45.60062) (width 0.127) (layer Back) (net 20))
-  (segment (start 80.05064 39.29888) (end 78.80096 39.29888) (width 0.127) (layer Back) (net 20))
-  (segment (start 70.50024 40.70096) (end 70.50024 40.87622) (width 0.127) (layer Front) (net 20))
-  (segment (start 71.4502 49.276) (end 71.4502 49.1998) (width 0.127) (layer Back) (net 20))
-  (segment (start 69.24548 47.09668) (end 68.6054 47.09668) (width 0.127) (layer Back) (net 20))
-  (segment (start 71.80072 47.752) (end 71.70166 47.85106) (width 0.127) (layer Front) (net 20))
-  (segment (start 71.80072 46.0502) (end 71.80072 47.752) (width 0.127) (layer Front) (net 20))
-  (segment (start 70.40118 39.34968) (end 70.44944 39.34968) (width 0.127) (layer Front) (net 20))
-  (segment (start 76.2 46.92396) (end 76.17714 46.9011) (width 0.127) (layer Front) (net 20))
-  (segment (start 73.64984 48.80102) (end 73.20026 49.2506) (width 0.127) (layer Back) (net 20))
-  (segment (start 77.60462 41.64584) (end 77.8002 41.45026) (width 0.127) (layer Back) (net 20))
-  (segment (start 75.34656 47.69612) (end 75.34656 48.2981) (width 0.127) (layer Back) (net 20))
-  (segment (start 78.80096 39.29888) (end 78.75016 39.34968) (width 0.127) (layer Back) (net 20))
-  (segment (start 71.70166 41.65092) (end 69.9516 41.65092) (width 0.127) (layer Front) (net 20))
-  (segment (start 69.9516 41.65092) (end 69.1515 40.85082) (width 0.127) (layer Front) (net 20))
-  (segment (start 70.40118 39.34968) (end 69.70014 39.34968) (width 0.127) (layer Front) (net 20))
-  (segment (start 70.74916 40.45204) (end 70.50024 40.70096) (width 0.127) (layer Front) (net 20))
-  (segment (start 69.2404 45.60062) (end 69.24548 45.59554) (width 0.127) (layer Back) (net 20))
-  (segment (start 76.1238 48.65116) (end 75.69962 48.65116) (width 0.127) (layer Back) (net 20))
-  (via (at 76.2 46.92396) (size 0.44958) (layers Front Back) (net 20))
-  (segment (start 76.17714 46.9011) (end 74.47534 46.9011) (width 0.127) (layer Front) (net 20))
-  (segment (start 73.20026 49.2506) (end 75.25258 49.2506) (width 0.127) (layer Front) (net 20))
-  (segment (start 71.4502 49.1998) (end 72.34682 48.30318) (width 0.127) (layer Back) (net 20))
-  (via (at 74.47534 46.9011) (size 0.44958) (layers Front Back) (net 20))
-  (segment (start 77.0509 38.45052) (end 77.0509 39.29888) (width 0.127) (layer Front) (net 20))
-  (segment (start 76.1492 38.24986) (end 76.85024 38.24986) (width 0.127) (layer Back) (net 20))
-  (segment (start 72.34682 48.30318) (end 72.34682 47.69612) (width 0.127) (layer Back) (net 20))
-  (segment (start 76.85024 38.24986) (end 77.0001 38.39972) (width 0.127) (layer Back) (net 20))
-  (via (at 77.0001 38.39972) (size 0.44958) (layers Front Back) (net 20))
-  (segment (start 76.1492 38.24986) (end 75.30084 38.24986) (width 0.127) (layer Back) (net 20))
-  (segment (start 77.0001 38.39972) (end 77.0509 38.45052) (width 0.127) (layer Front) (net 20))
-  (segment (start 76.42098 41.82872) (end 77.95006 40.29964) (width 0.127) (layer Front) (net 21))
-  (segment (start 77.95006 40.29964) (end 77.95006 39.29888) (width 0.127) (layer Front) (net 21))
-  (segment (start 76.42098 42.35196) (end 76.42098 41.82872) (width 0.127) (layer Front) (net 21))
-  (segment (start 71.30034 39.34968) (end 72.00138 39.34968) (width 0.127) (layer Front) (net 22))
-
-)
diff --git a/hardware/fx2grok-cube/0.1/fx2grok-cube.lib b/hardware/fx2grok-cube/0.1/fx2grok-cube.lib
deleted file mode 100644 (file)
index 5c46810..0000000
+++ /dev/null
@@ -1,105 +0,0 @@
-EESchema-LIBRARY Version 2.3  Date: Sun 03 Jun 2012 03:43:12 AM CEST
-#encoding utf-8
-#
-# CY7C68013A-56
-#
-DEF CY7C68013A-56 IC 0 40 Y Y 1 F N
-F0 "IC" 0 -1700 60 H V C CNN
-F1 "CY7C68013A-56" 0 0 60 H V C CNN
-DRAW
-S -1100 1400 1000 -1300 0 1 0 f
-X RDY0/SLRD 1 -1400 1300 300 R 50 50 1 1 I
-X RDY1/SLWR 2 -1400 1200 300 R 50 50 1 1 I
-X AVCC 3 200 1700 300 D 50 50 1 1 W
-X XTALOUT 4 -1400 1000 300 R 50 50 1 1 O
-X XTALIN 5 -1400 900 300 R 50 50 1 1 I
-X AGND 6 200 -1500 300 U 50 50 1 1 W
-X AVCC 7 300 1700 300 D 50 50 1 1 W
-X DPLUS 8 -1400 700 300 R 50 50 1 1 B
-X DMINUS 9 -1400 600 300 R 50 50 1 1 B
-X AGND 10 300 -1500 300 U 50 50 1 1 W
-X PB2/FD2 20 1300 200 300 L 50 50 1 1 B
-X CTL1/FLAGB 30 -1400 -300 300 R 50 50 1 1 O
-X PA7/FLAGD/~SLCS 40 1300 600 300 L 50 50 1 1 B
-X PD5/FD13 50 1300 -1000 300 L 50 50 1 1 B
-X VCC 11 -500 1700 300 D 50 50 1 1 W
-X PB3/FD3 21 1300 100 300 L 50 50 1 1 B
-X CTL2/FLAGC 31 -1400 -400 300 R 50 50 1 1 O
-X GND 41 -200 -1500 300 U 50 50 1 1 W
-X PD6/FD14 51 1300 -1100 300 L 50 50 1 1 B
-X GND 12 -500 -1500 300 U 50 50 1 1 W
-X PB4/FD4 22 1300 0 300 L 50 50 1 1 B
-X VCC 32 -200 1700 300 D 50 50 1 1 W
-X ~RESET 42 -1400 -600 300 R 50 50 1 1 I I
-X PD7/FD15 52 1300 -1200 300 L 50 50 1 1 B
-X IFCLK 13 -1400 400 300 R 50 50 1 1 B C
-X PB5/FD5 23 1300 -100 300 L 50 50 1 1 B
-X PA0/~INT0 33 1300 1300 300 L 50 50 1 1 B
-X VCC 43 -100 1700 300 D 50 50 1 1 W
-X GND 53 -100 -1500 300 U 50 50 1 1 W
-X RESERVED 14 -1400 -1000 300 R 50 50 1 1 I
-X PB6/FD6 24 1300 -200 300 L 50 50 1 1 B
-X PA1/~INT1 34 1300 1200 300 L 50 50 1 1 B
-X WAKEUP 44 -1400 -800 300 R 50 50 1 1 I
-X CLKOUT 54 -1400 300 300 R 50 50 1 1 O C
-X SCL 15 -1400 100 300 R 50 50 1 1 O
-X PB7/FD7 25 1300 -300 300 L 50 50 1 1 B
-X PA2/SLOE 35 1300 1100 300 L 50 50 1 1 B
-X PD0/FD8 45 1300 -500 300 L 50 50 1 1 B
-X VCC 55 0 1700 300 D 50 50 1 1 W
-X SDA 16 -1400 0 300 R 50 50 1 1 B
-X GND 26 -400 -1500 300 U 50 50 1 1 W
-X PA3/WU2 36 1300 1000 300 L 50 50 1 1 B
-X PD1/FD9 46 1300 -600 300 L 50 50 1 1 B
-X GND 56 0 -1500 300 U 50 50 1 1 W
-X VCC 17 -400 1700 300 D 50 50 1 1 W
-X VCC 27 -300 1700 300 D 50 50 1 1 W
-X PA4/FIFOADR0 37 1300 900 300 L 50 50 1 1 B
-X PD2/FD10 47 1300 -700 300 L 50 50 1 1 B
-X PB0/FD0 18 1300 400 300 L 50 50 1 1 B
-X GND 28 -300 -1500 300 U 50 50 1 1 W
-X PA5/FIFOADR1 38 1300 800 300 L 50 50 1 1 B
-X PD3/FD11 48 1300 -800 300 L 50 50 1 1 B
-X PB1/FD1 19 1300 300 300 L 50 50 1 1 B
-X CTL0/FLAGA 29 -1400 -200 300 R 50 50 1 1 O
-X PA6/PKTEND 39 1300 700 300 L 50 50 1 1 B
-X PD4/FD12 49 1300 -900 300 L 50 50 1 1 B
-ENDDRAW
-ENDDEF
-#
-# MIC5319
-#
-DEF MIC5319 U 0 40 Y Y 1 F N
-F0 "U" 200 -300 60 H V C CNN
-F1 "MIC5319" 0 250 60 H V C CNN
-F2 "~" 450 -400 60 H V C CNN
-DRAW
-S -250 -200 300 150 0 1 0 f
-X VIN 1 -550 50 300 R 50 50 1 1 W
-X GND 2 0 -500 300 U 50 50 1 1 W
-X EN 3 -550 -100 300 R 50 50 1 1 I
-X BYP 4 600 -100 300 L 50 50 1 1 P
-X VOUT 5 600 50 300 L 50 50 1 1 w
-ENDDRAW
-ENDDEF
-#
-# MICRO-USB-B-SMD
-#
-DEF MICRO-USB-B-SMD U 0 40 Y Y 1 F N
-F0 "U" 100 -450 60 H V C CNN
-F1 "MICRO-USB-B-SMD" -150 350 60 H V C CNN
-DRAW
-S -500 300 200 -300 0 1 0 f
-X VBUS 1 500 200 300 L 50 50 1 1 w
-X DM 2 500 100 300 L 50 50 1 1 B
-X DP 3 500 0 300 L 50 50 1 1 B
-X ID 4 500 -100 300 L 50 50 1 1 O
-X GND 5 500 -200 300 L 50 50 1 1 W
-X SH1 6 -100 -600 300 U 50 50 1 1 P
-X SH2 7 -200 -600 300 U 50 50 1 1 P
-X SH3 8 -300 -600 300 U 50 50 1 1 P
-X SH4 9 -400 -600 300 U 50 50 1 1 P
-ENDDRAW
-ENDDEF
-#
-#End Library
diff --git a/hardware/fx2grok-cube/0.1/fx2grok-cube.mod b/hardware/fx2grok-cube/0.1/fx2grok-cube.mod
deleted file mode 100644 (file)
index 99d90aa..0000000
+++ /dev/null
@@ -1,1028 +0,0 @@
-PCBNEW-LibModule-V1  Tue 26 Mar 2013 05:36:51 PM CET
-# encoding utf-8
-$INDEX
-ABM11
-CONN-5x1-SMD-127MM
-CONN-5x2-SMD-127MM
-MICRO-USB-B-SMD
-QFN56
-RPACK-8-SMD-0402
-RPACK-8-SMD-0603
-$EndINDEX
-$MODULE RPACK-8-SMD-0603
-Po 0 0 0 15 4FCBA75F 00000000 ~~
-Li RPACK-8-SMD-0603
-Sc 00000000
-AR
-Op 0 0 0
-.SolderMask 39
-T0 20 2953 600 600 0 120 N V 21 N "RPACK-8-SMD-0603"
-T1 39 -1457 600 600 0 120 N V 21 N "VAL**"
-$PAD
-Sh "1" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1103 -334
-.LocalClearance 59
-$EndPAD
-$PAD
-Sh "2" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -788 -334
-.LocalClearance 59
-$EndPAD
-$PAD
-Sh "3" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -473 -334
-.LocalClearance 59
-$EndPAD
-$PAD
-Sh "4" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -158 -334
-.LocalClearance 59
-$EndPAD
-$PAD
-Sh "5" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 157 -334
-.LocalClearance 59
-$EndPAD
-$PAD
-Sh "6" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 472 -334
-.LocalClearance 59
-$EndPAD
-$PAD
-Sh "7" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 787 -334
-.LocalClearance 59
-$EndPAD
-$PAD
-Sh "8" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1102 -334
-.LocalClearance 59
-$EndPAD
-$PAD
-Sh "9" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1102 335
-.LocalClearance 59
-$EndPAD
-$PAD
-Sh "10" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 787 335
-.LocalClearance 59
-$EndPAD
-$PAD
-Sh "11" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 472 335
-.LocalClearance 59
-$EndPAD
-$PAD
-Sh "12" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 157 335
-.LocalClearance 59
-$EndPAD
-$PAD
-Sh "13" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -158 335
-.LocalClearance 59
-$EndPAD
-$PAD
-Sh "14" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -473 335
-.LocalClearance 59
-$EndPAD
-$PAD
-Sh "15" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -788 335
-.LocalClearance 59
-$EndPAD
-$PAD
-Sh "16" R 177 354 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1103 335
-.LocalClearance 59
-$EndPAD
-$EndMODULE  RPACK-8-SMD-0603
-$MODULE ABM11
-Po 0 0 0 15 4FCBBD24 00000000 ~~
-Li ABM11
-Sc 00000000
-AR
-Op 0 0 0
-T0 0 2648 600 600 0 120 N V 21 N "ABM11"
-T1 0 -1870 600 600 0 120 N V 21 N "VAL**"
-$PAD
-Sh "1" R 295 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -256 197
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "2" R 295 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 255 197
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "3" R 295 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 255 -197
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "4" R 295 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -256 -197
-.LocalClearance 39
-$EndPAD
-$EndMODULE  ABM11
-$MODULE QFN56
-Po 0 0 0 15 4FCBEA5C 00000000 ~~
-Li QFN56
-Sc 00000000
-AR
-Op 0 0 0
-T0 -1142 1043 600 600 0 120 N I 21 N "QFN56"
-T1 -1181 -3642 600 600 0 120 N I 21 N "VAL**"
-$PAD
-Sh "" R 1890 2165 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 0 0
-.LocalClearance 4
-$EndPAD
-$PAD
-Sh "28" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1281 1514
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "27" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1084 1514
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "26" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -887 1514
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "25" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -690 1514
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "24" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -494 1514
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "23" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -297 1514
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "22" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -100 1514
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "21" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 97 1514
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "20" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 294 1514
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "19" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 491 1514
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "18" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 688 1514
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "17" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 885 1514
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "16" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1081 1514
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "15" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1278 1514
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "29" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1517 1278
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "30" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1517 1081
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "31" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1517 884
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "32" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1517 687
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "33" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1517 490
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "34" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1517 293
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "35" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1517 96
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "36" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1517 -100
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "37" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1517 -297
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "38" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1517 -494
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "39" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1517 -691
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "40" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1517 -888
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "41" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1517 -1085
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "42" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1517 -1281
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "43" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1281 -1518
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "44" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1103 -1518
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "45" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -907 -1518
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "46" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -710 -1518
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "47" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -513 -1518
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "48" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -316 -1518
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "49" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -119 -1518
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "50" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 78 -1518
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "51" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 275 -1518
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "52" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 471 -1518
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "53" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 668 -1518
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "54" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 865 -1518
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "55" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1062 -1518
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "56" R 110 272 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1259 -1518
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "1" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1495 -1282
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "2" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1495 -1085
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "3" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1495 -888
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "4" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1495 -691
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "5" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1495 -494
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "6" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1495 -297
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "7" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1495 -100
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "8" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1495 96
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "9" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1495 293
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "10" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1495 490
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "11" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1495 687
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "12" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1495 884
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "13" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1495 1081
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "14" R 272 110 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1495 1278
-.LocalClearance 39
-$EndPAD
-$EndMODULE  QFN56
-$MODULE MICRO-USB-B-SMD
-Po 0 0 0 15 4FCBF264 00000000 ~~
-Li MICRO-USB-B-SMD
-Sc 00000000
-AR MICRO-USB-B-SMD
-Op 0 0 0
-T0 59 2567 600 600 0 120 N I 21 N "MICRO-USB-B-SMD"
-T1 -12 -2035 600 600 0 120 N I 21 N "VAL**"
-$PAD
-Sh "1" R 157 531 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -512 -374
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "2" R 157 531 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -256 -374
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "3" R 157 531 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 0 -374
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "4" R 157 531 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 256 -374
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "5" R 157 531 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 512 -374
-.LocalClearance 39
-$EndPAD
-$PAD
-Sh "6" R 827 630 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1220 -335
-.LocalClearance 118
-$EndPAD
-$PAD
-Sh "7" R 827 630 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1221 -335
-.LocalClearance 118
-$EndPAD
-$PAD
-Sh "9" R 748 748 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1535 669
-.LocalClearance 118
-$EndPAD
-$PAD
-Sh "" R 748 748 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 472 669
-.LocalClearance 118
-$EndPAD
-$PAD
-Sh "" R 748 748 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -472 669
-.LocalClearance 118
-$EndPAD
-$PAD
-Sh "8" R 748 748 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1535 669
-.LocalClearance 118
-$EndPAD
-$EndMODULE  MICRO-USB-B-SMD
-$MODULE RPACK-8-SMD-0402
-Po 0 0 0 15 4FCD2FE0 00000000 ~~
-Li RPACK-8-SMD-0402
-Sc 00000000
-AR
-Op 0 0 0
-T0 217 4724 600 600 0 120 N V 21 N "RPACK-8-SMD-0402"
-T1 98 -4882 600 600 0 120 N V 21 N "VAL**"
-$PAD
-Sh "1" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1379 -128
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "2" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1182 -128
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "3" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -985 -128
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "4" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -788 -128
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "5" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -591 -128
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "6" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -395 -128
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "7" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -198 -128
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "8" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1 -128
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "9" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1 325
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "10" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -198 325
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "11" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -395 325
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "12" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -592 325
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "13" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -789 325
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "14" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -985 325
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "15" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1182 325
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "16" R 118 256 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1379 325
-.LocalClearance 20
-$EndPAD
-$EndMODULE  RPACK-8-SMD-0402
-$MODULE CONN-5x2-SMD-127MM
-Po 0 0 0 15 4FCD3AA4 00000000 ~~
-Li CONN-5x2-SMD-127MM
-Sc 00000000
-AR
-Op 0 0 0
-T0 30 3711 600 600 0 120 N V 21 N "CONN-5x2-SMD-127MM"
-T1 -20 -3465 600 600 0 120 N V 21 N "VAL**"
-$PAD
-Sh "1" R 299 945 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1358 1063
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "3" R 299 945 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -856 1063
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "2" R 299 945 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -1358 -472
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "4" R 299 945 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -856 -472
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "5" R 299 945 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -354 1063
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "7" R 299 945 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 148 1063
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "9" R 299 945 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 650 1063
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "6" R 299 945 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -354 -472
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "8" R 299 945 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 148 -472
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "10" R 299 945 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 650 -472
-.LocalClearance 20
-$EndPAD
-$EndMODULE  CONN-5x2-SMD-127MM
-$MODULE CONN-5x1-SMD-127MM
-Po 0 0 0 15 5151CE4F 00000000 ~~
-Li CONN-5x1-SMD-127MM
-Sc 00000000
-AR CONN-5x2-SMD-127MM
-Op 0 0 0
-T0 30 3711 600 600 0 120 N V 21 N "CONN-5x1-SMD-127MM"
-T1 -20 -3465 600 600 0 120 N V 21 N "VAL**"
-$PAD
-Sh "1" R 299 945 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -858 63
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "2" R 299 945 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po -356 63
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "3" R 299 945 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 146 63
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "4" R 299 945 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 648 63
-.LocalClearance 20
-$EndPAD
-$PAD
-Sh "5" R 299 945 0 0 0
-Dr 0 0 0
-At SMD N 00888000
-Ne 0 ""
-Po 1150 63
-.LocalClearance 20
-$EndPAD
-$EndMODULE  CONN-5x1-SMD-127MM
-$EndLIBRARY
diff --git a/hardware/fx2grok-cube/0.1/fx2grok-cube.net b/hardware/fx2grok-cube/0.1/fx2grok-cube.net
deleted file mode 100644 (file)
index 5a95dfa..0000000
+++ /dev/null
@@ -1,511 +0,0 @@
-(export (version D)
-  (design
-    (source /home/uwe/code/SIGROK/fx2grok/hardware/fx2grok-cube/0.1/fx2grok-cube.sch)
-    (date "Sun 09 Jul 2017 07:32:27 PM CEST")
-    (tool "Eeschema 4.0.5+dfsg1-4")
-    (sheet (number 1) (name /) (tstamps /)
-      (title_block
-        (title fx2grok)
-        (company http://www.sigrok.org/wiki/Fx2grok)
-        (rev 1)
-        (date "26 mar 2013")
-        (source fx2grok-cube.sch)
-        (comment (number 1) (value "Open Hardware, CC-BY-SA 3.0"))
-        (comment (number 2) (value ""))
-        (comment (number 3) (value ""))
-        (comment (number 4) (value "")))))
-  (components
-    (comp (ref R3)
-      (value 2K7)
-      (footprint SM0402)
-      (libsource (lib device) (part R))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 5151D52F))
-    (comp (ref C1)
-      (value 1uF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCBEB98))
-    (comp (ref R5)
-      (value 2K7)
-      (footprint SM0402)
-      (libsource (lib device) (part R))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCAAEBE))
-    (comp (ref D1)
-      (value LED1)
-      (footprint SM0402)
-      (libsource (lib device) (part LED))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCAAEBC))
-    (comp (ref C15)
-      (value 100nF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCAA86B))
-    (comp (ref C16)
-      (value 2.2uF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCAA863))
-    (comp (ref C14)
-      (value 1uF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCAA85F))
-    (comp (ref U2)
-      (value MIC5319)
-      (footprint SOT23-5)
-      (libsource (lib fx2grok-cube) (part MIC5319))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCAA78E))
-    (comp (ref U1)
-      (value MICRO-USB-B-SMD)
-      (footprint MICRO-USB-B-SMD)
-      (libsource (lib fx2grok-cube) (part MICRO-USB-B-SMD))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCAA5E6))
-    (comp (ref R2)
-      (value 2K7)
-      (footprint SM0402)
-      (libsource (lib device) (part R))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCAA3E6))
-    (comp (ref C11)
-      (value 100nF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCA9C86))
-    (comp (ref C8)
-      (value 100nF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCA9C7E))
-    (comp (ref C6)
-      (value 2.2uF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCA9C79))
-    (comp (ref C3)
-      (value 2.2uF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCA9C5D))
-    (comp (ref C13)
-      (value 100nF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCA97A0))
-    (comp (ref C12)
-      (value 100nF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCA9799))
-    (comp (ref C7)
-      (value 100nF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCA9793))
-    (comp (ref C5)
-      (value 100nF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCA9777))
-    (comp (ref C10)
-      (value 100nF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCA9724))
-    (comp (ref C2)
-      (value 100nF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCA96AB))
-    (comp (ref R1)
-      (value 100K)
-      (footprint SM0402)
-      (libsource (lib device) (part R))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCA95B2))
-    (comp (ref R4)
-      (value 2K7)
-      (footprint SM0402)
-      (libsource (lib device) (part R))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FCA93BD))
-    (comp (ref C4)
-      (value 12pF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FC8F6F8))
-    (comp (ref C9)
-      (value 12pF)
-      (footprint SM0402)
-      (libsource (lib device) (part C))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FC8F6F5))
-    (comp (ref IC1)
-      (value CY7C68013A-56)
-      (footprint QFN56)
-      (libsource (lib fx2grok-cube) (part CY7C68013A-56))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 4FC8F245))
-    (comp (ref Y1)
-      (value Crystal)
-      (footprint ABM11)
-      (libsource (lib device) (part Crystal))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 5963E355))
-    (comp (ref P1)
-      (value CONN_01X05)
-      (footprint CONN-5x1-SMD-127MM)
-      (libsource (lib conn) (part CONN_01X05))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 596377A2))
-    (comp (ref P2)
-      (value CONN_01X05)
-      (footprint CONN-5x1-SMD-127MM)
-      (libsource (lib conn) (part CONN_01X05))
-      (sheetpath (names /) (tstamps /))
-      (tstamp 59637927)))
-  (libparts
-    (libpart (lib device) (part C)
-      (description "Unpolarized capacitor")
-      (footprints
-        (fp C?)
-        (fp C_????_*)
-        (fp C_????)
-        (fp SMD*_c)
-        (fp Capacitor*))
-      (fields
-        (field (name Reference) C)
-        (field (name Value) C))
-      (pins
-        (pin (num 1) (name ~) (type passive))
-        (pin (num 2) (name ~) (type passive))))
-    (libpart (lib conn) (part CONN_01X05)
-      (description "Connector, single row, 01x05")
-      (footprints
-        (fp Pin_Header_Straight_1X05)
-        (fp Pin_Header_Angled_1X05)
-        (fp Socket_Strip_Straight_1X05)
-        (fp Socket_Strip_Angled_1X05))
-      (fields
-        (field (name Reference) P)
-        (field (name Value) CONN_01X05))
-      (pins
-        (pin (num 1) (name P1) (type passive))
-        (pin (num 2) (name P2) (type passive))
-        (pin (num 3) (name P3) (type passive))
-        (pin (num 4) (name P4) (type passive))
-        (pin (num 5) (name P5) (type passive))))
-    (libpart (lib fx2grok-cube) (part CY7C68013A-56)
-      (fields
-        (field (name Reference) IC)
-        (field (name Value) CY7C68013A-56))
-      (pins
-        (pin (num 1) (name RDY0/SLRD) (type input))
-        (pin (num 2) (name RDY1/SLWR) (type input))
-        (pin (num 3) (name AVCC) (type power_in))
-        (pin (num 4) (name XTALOUT) (type output))
-        (pin (num 5) (name XTALIN) (type input))
-        (pin (num 6) (name AGND) (type power_in))
-        (pin (num 7) (name AVCC) (type power_in))
-        (pin (num 8) (name DPLUS) (type BiDi))
-        (pin (num 9) (name DMINUS) (type BiDi))
-        (pin (num 10) (name AGND) (type power_in))
-        (pin (num 11) (name VCC) (type power_in))
-        (pin (num 12) (name GND) (type power_in))
-        (pin (num 13) (name IFCLK) (type BiDi))
-        (pin (num 14) (name RESERVED) (type input))
-        (pin (num 15) (name SCL) (type output))
-        (pin (num 16) (name SDA) (type BiDi))
-        (pin (num 17) (name VCC) (type power_in))
-        (pin (num 18) (name PB0/FD0) (type BiDi))
-        (pin (num 19) (name PB1/FD1) (type BiDi))
-        (pin (num 20) (name PB2/FD2) (type BiDi))
-        (pin (num 21) (name PB3/FD3) (type BiDi))
-        (pin (num 22) (name PB4/FD4) (type BiDi))
-        (pin (num 23) (name PB5/FD5) (type BiDi))
-        (pin (num 24) (name PB6/FD6) (type BiDi))
-        (pin (num 25) (name PB7/FD7) (type BiDi))
-        (pin (num 26) (name GND) (type power_in))
-        (pin (num 27) (name VCC) (type power_in))
-        (pin (num 28) (name GND) (type power_in))
-        (pin (num 29) (name CTL0/FLAGA) (type output))
-        (pin (num 30) (name CTL1/FLAGB) (type output))
-        (pin (num 31) (name CTL2/FLAGC) (type output))
-        (pin (num 32) (name VCC) (type power_in))
-        (pin (num 33) (name PA0/~INT0) (type BiDi))
-        (pin (num 34) (name PA1/~INT1) (type BiDi))
-        (pin (num 35) (name PA2/SLOE) (type BiDi))
-        (pin (num 36) (name PA3/WU2) (type BiDi))
-        (pin (num 37) (name PA4/FIFOADR0) (type BiDi))
-        (pin (num 38) (name PA5/FIFOADR1) (type BiDi))
-        (pin (num 39) (name PA6/PKTEND) (type BiDi))
-        (pin (num 40) (name PA7/FLAGD/~SLCS) (type BiDi))
-        (pin (num 41) (name GND) (type power_in))
-        (pin (num 42) (name ~RESET) (type input))
-        (pin (num 43) (name VCC) (type power_in))
-        (pin (num 44) (name WAKEUP) (type input))
-        (pin (num 45) (name PD0/FD8) (type BiDi))
-        (pin (num 46) (name PD1/FD9) (type BiDi))
-        (pin (num 47) (name PD2/FD10) (type BiDi))
-        (pin (num 48) (name PD3/FD11) (type BiDi))
-        (pin (num 49) (name PD4/FD12) (type BiDi))
-        (pin (num 50) (name PD5/FD13) (type BiDi))
-        (pin (num 51) (name PD6/FD14) (type BiDi))
-        (pin (num 52) (name PD7/FD15) (type BiDi))
-        (pin (num 53) (name GND) (type power_in))
-        (pin (num 54) (name CLKOUT) (type output))
-        (pin (num 55) (name VCC) (type power_in))
-        (pin (num 56) (name GND) (type power_in))))
-    (libpart (lib device) (part Crystal)
-      (description "Two pin crystal")
-      (footprints
-        (fp Crystal*))
-      (fields
-        (field (name Reference) Y)
-        (field (name Value) Crystal))
-      (pins
-        (pin (num 1) (name 1) (type passive))
-        (pin (num 2) (name 2) (type passive))))
-    (libpart (lib device) (part LED)
-      (description "LED generic")
-      (footprints
-        (fp LED*))
-      (fields
-        (field (name Reference) D)
-        (field (name Value) LED))
-      (pins
-        (pin (num 1) (name K) (type passive))
-        (pin (num 2) (name A) (type passive))))
-    (libpart (lib fx2grok-cube) (part MIC5319)
-      (fields
-        (field (name Reference) U)
-        (field (name Value) MIC5319))
-      (pins
-        (pin (num 1) (name VIN) (type power_in))
-        (pin (num 2) (name GND) (type power_in))
-        (pin (num 3) (name EN) (type input))
-        (pin (num 4) (name BYP) (type passive))
-        (pin (num 5) (name VOUT) (type power_out))))
-    (libpart (lib fx2grok-cube) (part MICRO-USB-B-SMD)
-      (fields
-        (field (name Reference) U)
-        (field (name Value) MICRO-USB-B-SMD))
-      (pins
-        (pin (num 1) (name VBUS) (type power_out))
-        (pin (num 2) (name DM) (type BiDi))
-        (pin (num 3) (name DP) (type BiDi))
-        (pin (num 4) (name ID) (type output))
-        (pin (num 5) (name GND) (type power_in))
-        (pin (num 6) (name SH1) (type passive))
-        (pin (num 7) (name SH2) (type passive))
-        (pin (num 8) (name SH3) (type passive))
-        (pin (num 9) (name SH4) (type passive))))
-    (libpart (lib device) (part R)
-      (description Resistor)
-      (footprints
-        (fp R_*)
-        (fp Resistor_*))
-      (fields
-        (field (name Reference) R)
-        (field (name Value) R))
-      (pins
-        (pin (num 1) (name ~) (type passive))
-        (pin (num 2) (name ~) (type passive)))))
-  (libraries
-    (library (logical device)
-      (uri /usr/share/kicad/library/device.lib))
-    (library (logical conn)
-      (uri /usr/share/kicad/library/conn.lib))
-    (library (logical fx2grok-cube)
-      (uri fx2grok-cube.lib)))
-  (nets
-    (net (code 1) (name /SDA)
-      (node (ref R4) (pin 1))
-      (node (ref IC1) (pin 16)))
-    (net (code 2) (name /DM)
-      (node (ref IC1) (pin 9))
-      (node (ref U1) (pin 2)))
-    (net (code 3) (name /XTALOUT)
-      (node (ref IC1) (pin 4))
-      (node (ref C9) (pin 1))
-      (node (ref Y1) (pin 2)))
-    (net (code 4) (name GND)
-      (node (ref IC1) (pin 26))
-      (node (ref P2) (pin 1))
-      (node (ref P1) (pin 5))
-      (node (ref C16) (pin 2))
-      (node (ref C13) (pin 2))
-      (node (ref C3) (pin 2))
-      (node (ref C6) (pin 2))
-      (node (ref C8) (pin 2))
-      (node (ref C11) (pin 2))
-      (node (ref C12) (pin 2))
-      (node (ref D1) (pin 2))
-      (node (ref C15) (pin 2))
-      (node (ref U1) (pin 9))
-      (node (ref U1) (pin 8))
-      (node (ref C14) (pin 2))
-      (node (ref U2) (pin 2))
-      (node (ref U1) (pin 5))
-      (node (ref U1) (pin 6))
-      (node (ref U1) (pin 7))
-      (node (ref C2) (pin 2))
-      (node (ref C10) (pin 2))
-      (node (ref C5) (pin 2))
-      (node (ref C7) (pin 2))
-      (node (ref C1) (pin 2))
-      (node (ref IC1) (pin 56))
-      (node (ref IC1) (pin 28))
-      (node (ref C4) (pin 2))
-      (node (ref C9) (pin 2))
-      (node (ref IC1) (pin 41))
-      (node (ref IC1) (pin 12))
-      (node (ref IC1) (pin 53))
-      (node (ref IC1) (pin 14))
-      (node (ref IC1) (pin 10))
-      (node (ref IC1) (pin 6)))
-    (net (code 5) (name +3V3)
-      (node (ref IC1) (pin 7))
-      (node (ref C11) (pin 1))
-      (node (ref C8) (pin 1))
-      (node (ref C6) (pin 1))
-      (node (ref C3) (pin 1))
-      (node (ref C13) (pin 1))
-      (node (ref C12) (pin 1))
-      (node (ref R3) (pin 2))
-      (node (ref C1) (pin 1))
-      (node (ref C7) (pin 1))
-      (node (ref C5) (pin 1))
-      (node (ref C10) (pin 1))
-      (node (ref IC1) (pin 11))
-      (node (ref C2) (pin 1))
-      (node (ref R1) (pin 1))
-      (node (ref R2) (pin 1))
-      (node (ref R4) (pin 2))
-      (node (ref U2) (pin 5))
-      (node (ref C16) (pin 1))
-      (node (ref IC1) (pin 43))
-      (node (ref IC1) (pin 55))
-      (node (ref IC1) (pin 3))
-      (node (ref IC1) (pin 32))
-      (node (ref IC1) (pin 17))
-      (node (ref IC1) (pin 27)))
-    (net (code 6) (name "Net-(C15-Pad1)")
-      (node (ref U2) (pin 4))
-      (node (ref C15) (pin 1)))
-    (net (code 7) (name /PB2)
-      (node (ref IC1) (pin 20))
-      (node (ref P1) (pin 2)))
-    (net (code 8) (name /PB6)
-      (node (ref IC1) (pin 24))
-      (node (ref P1) (pin 4)))
-    (net (code 9) (name /PB1)
-      (node (ref P2) (pin 5))
-      (node (ref IC1) (pin 19)))
-    (net (code 10) (name /PB5)
-      (node (ref P2) (pin 3))
-      (node (ref IC1) (pin 23)))
-    (net (code 11) (name /PA0)
-      (node (ref R5) (pin 2))
-      (node (ref IC1) (pin 33)))
-    (net (code 12) (name "Net-(D1-Pad1)")
-      (node (ref R5) (pin 1))
-      (node (ref D1) (pin 1)))
-    (net (code 13) (name /SCL)
-      (node (ref R3) (pin 1))
-      (node (ref IC1) (pin 15)))
-    (net (code 14) (name /RESET#)
-      (node (ref IC1) (pin 42))
-      (node (ref R2) (pin 2)))
-    (net (code 15) (name /PB3)
-      (node (ref IC1) (pin 21))
-      (node (ref P2) (pin 4)))
-    (net (code 16) (name /PB7)
-      (node (ref P2) (pin 2))
-      (node (ref IC1) (pin 25)))
-    (net (code 17) (name /PB4)
-      (node (ref P1) (pin 3))
-      (node (ref IC1) (pin 22)))
-    (net (code 18) (name /PB0)
-      (node (ref P1) (pin 1))
-      (node (ref IC1) (pin 18)))
-    (net (code 19) (name +5V)
-      (node (ref U2) (pin 3))
-      (node (ref C14) (pin 1))
-      (node (ref U1) (pin 1))
-      (node (ref U2) (pin 1)))
-    (net (code 20) (name /WAKEUP)
-      (node (ref IC1) (pin 44))
-      (node (ref R1) (pin 2)))
-    (net (code 21) (name /XTALIN)
-      (node (ref C4) (pin 1))
-      (node (ref IC1) (pin 5))
-      (node (ref Y1) (pin 1)))
-    (net (code 22) (name /DP)
-      (node (ref U1) (pin 3))
-      (node (ref IC1) (pin 8)))
-    (net (code 23) (name "Net-(IC1-Pad2)")
-      (node (ref IC1) (pin 2)))
-    (net (code 24) (name "Net-(IC1-Pad1)")
-      (node (ref IC1) (pin 1)))
-    (net (code 25) (name "Net-(IC1-Pad35)")
-      (node (ref IC1) (pin 35)))
-    (net (code 26) (name "Net-(IC1-Pad36)")
-      (node (ref IC1) (pin 36)))
-    (net (code 27) (name "Net-(IC1-Pad37)")
-      (node (ref IC1) (pin 37)))
-    (net (code 28) (name "Net-(IC1-Pad38)")
-      (node (ref IC1) (pin 38)))
-    (net (code 29) (name "Net-(IC1-Pad39)")
-      (node (ref IC1) (pin 39)))
-    (net (code 30) (name "Net-(IC1-Pad40)")
-      (node (ref IC1) (pin 40)))
-    (net (code 31) (name "Net-(IC1-Pad46)")
-      (node (ref IC1) (pin 46)))
-    (net (code 32) (name "Net-(IC1-Pad54)")
-      (node (ref IC1) (pin 54)))
-    (net (code 33) (name "Net-(IC1-Pad13)")
-      (node (ref IC1) (pin 13)))
-    (net (code 34) (name "Net-(IC1-Pad29)")
-      (node (ref IC1) (pin 29)))
-    (net (code 35) (name "Net-(IC1-Pad45)")
-      (node (ref IC1) (pin 45)))
-    (net (code 36) (name "Net-(U1-Pad4)")
-      (node (ref U1) (pin 4)))
-    (net (code 37) (name "Net-(IC1-Pad34)")
-      (node (ref IC1) (pin 34)))
-    (net (code 38) (name "Net-(IC1-Pad31)")
-      (node (ref IC1) (pin 31)))
-    (net (code 39) (name "Net-(IC1-Pad30)")
-      (node (ref IC1) (pin 30)))
-    (net (code 40) (name "Net-(IC1-Pad47)")
-      (node (ref IC1) (pin 47)))
-    (net (code 41) (name "Net-(IC1-Pad49)")
-      (node (ref IC1) (pin 49)))
-    (net (code 42) (name "Net-(IC1-Pad48)")
-      (node (ref IC1) (pin 48)))
-    (net (code 43) (name "Net-(IC1-Pad52)")
-      (node (ref IC1) (pin 52)))
-    (net (code 44) (name "Net-(IC1-Pad51)")
-      (node (ref IC1) (pin 51)))
-    (net (code 45) (name "Net-(IC1-Pad50)")
-      (node (ref IC1) (pin 50)))))
\ No newline at end of file
diff --git a/hardware/fx2grok-cube/0.1/fx2grok-cube.pro b/hardware/fx2grok-cube/0.1/fx2grok-cube.pro
deleted file mode 100644 (file)
index ad353a9..0000000
+++ /dev/null
@@ -1,104 +0,0 @@
-update=Mon 25 Mar 2013 06:07:58 PM CET
-version=1
-last_client=pcbnew
-[cvpcb]
-version=1
-NetIExt=net
-[cvpcb/libraries]
-EquName1=devcms
-[general]
-version=1
-[eeschema]
-version=1
-LibDir=
-NetFmt=1
-HPGLSpd=20
-HPGLDm=15
-HPGLNum=1
-offX_A4=0
-offY_A4=0
-offX_A3=0
-offY_A3=0
-offX_A2=0
-offY_A2=0
-offX_A1=0
-offY_A1=0
-offX_A0=0
-offY_A0=0
-offX_A=0
-offY_A=0
-offX_B=0
-offY_B=0
-offX_C=0
-offY_C=0
-offX_D=0
-offY_D=0
-offX_E=0
-offY_E=0
-RptD_X=0
-RptD_Y=100
-RptLab=1
-LabSize=60
-[eeschema/libraries]
-LibName1=power
-LibName2=device
-LibName3=transistors
-LibName4=conn
-LibName5=linear
-LibName6=regul
-LibName7=74xx
-LibName8=cmos4000
-LibName9=adc-dac
-LibName10=memory
-LibName11=xilinx
-LibName12=special
-LibName13=microcontrollers
-LibName14=dsp
-LibName15=microchip
-LibName16=analog_switches
-LibName17=motorola
-LibName18=texas
-LibName19=intel
-LibName20=audio
-LibName21=interface
-LibName22=digital-audio
-LibName23=philips
-LibName24=display
-LibName25=cypress
-LibName26=siliconi
-LibName27=opto
-LibName28=atmel
-LibName29=contrib
-LibName30=valves
-LibName31=fx2grok-cube
-[pcbnew]
-version=1
-PadDrlX=320
-PadDimH=600
-PadDimV=600
-BoardThickness=630
-TxtPcbV=800
-TxtPcbH=600
-TxtModV=600
-TxtModH=600
-TxtModW=120
-VEgarde=39
-DrawLar=150
-EdgeLar=150
-TxtLar=120
-MSegLar=150
-LastNetListRead=fx2grok-cube.net
-[pcbnew/libraries]
-LibDir=../0.1
-LibName1=sockets
-LibName2=connect
-LibName3=discret
-LibName4=pin_array
-LibName5=divers
-LibName6=libcms
-LibName7=display
-LibName8=led
-LibName9=dip_sockets
-LibName10=pga_sockets
-LibName11=valves
-LibName12=fx2grok-cube
diff --git a/hardware/fx2grok-cube/0.1/fx2grok-cube.sch b/hardware/fx2grok-cube/0.1/fx2grok-cube.sch
deleted file mode 100644 (file)
index bb15c50..0000000
+++ /dev/null
@@ -1,1193 +0,0 @@
-EESchema Schematic File Version 2
-LIBS:power
-LIBS:device
-LIBS:transistors
-LIBS:conn
-LIBS:linear
-LIBS:regul
-LIBS:74xx
-LIBS:cmos4000
-LIBS:adc-dac
-LIBS:memory
-LIBS:xilinx
-LIBS:microcontrollers
-LIBS:dsp
-LIBS:microchip
-LIBS:analog_switches
-LIBS:motorola
-LIBS:texas
-LIBS:intel
-LIBS:audio
-LIBS:interface
-LIBS:digital-audio
-LIBS:philips
-LIBS:display
-LIBS:cypress
-LIBS:siliconi
-LIBS:opto
-LIBS:atmel
-LIBS:contrib
-LIBS:valves
-LIBS:fx2grok-cube
-LIBS:fx2grok-cube-cache
-EELAYER 25 0
-EELAYER END
-$Descr A4 11693 8268
-encoding utf-8
-Sheet 1 1
-Title "fx2grok"
-Date "26 mar 2013"
-Rev "1"
-Comp "http://www.sigrok.org/wiki/Fx2grok"
-Comment1 "Open Hardware, CC-BY-SA 3.0"
-Comment2 ""
-Comment3 ""
-Comment4 ""
-$EndDescr
-$Comp
-L R R3
-U 1 1 5151D52F
-P 2700 4000
-F 0 "R3" V 2780 4000 50  0000 C CNN
-F 1 "2K7" V 2700 4000 50  0000 C CNN
-F 2 "SM0402" H 2700 4000 60  0001 C CNN
-F 3 "" H 2700 4000 60  0001 C CNN
-       1    2700 4000
-       0    1    1    0   
-$EndComp
-Text Notes 8050 3400 0    60   ~ 0
-5x2 1.27mm pin header (5 pins per PCB side)
-Text Label 7400 4100 0    60   ~ 0
-PB7
-Text Label 7400 4000 0    60   ~ 0
-PB6
-Text Label 7400 3900 0    60   ~ 0
-PB5
-Text Label 7400 3800 0    60   ~ 0
-PB4
-Text Label 7400 3700 0    60   ~ 0
-PB3
-Text Label 7400 3600 0    60   ~ 0
-PB2
-Text Label 7400 3500 0    60   ~ 0
-PB1
-Text Label 7400 3400 0    60   ~ 0
-PB0
-Text Label 5450 6200 0    60   ~ 0
-PA0
-Text Label 7400 2500 0    60   ~ 0
-PA0
-NoConn ~ 7300 4300
-NoConn ~ 7300 4400
-Text Label 9600 2850 0    60   ~ 0
-PB7
-Text Label 9600 2750 0    60   ~ 0
-PB5
-Text Label 9600 2650 0    60   ~ 0
-PB3
-Text Label 8550 2850 2    60   ~ 0
-PB6
-Text Label 8550 2750 2    60   ~ 0
-PB4
-Text Label 9600 2550 0    60   ~ 0
-PB1
-Text Label 8550 2650 2    60   ~ 0
-PB2
-Text Label 8550 2550 2    60   ~ 0
-PB0
-$Comp
-L C C1
-U 1 1 4FCBEB98
-P 950 5000
-F 0 "C1" H 1000 5100 50  0000 L CNN
-F 1 "1uF" H 1000 4900 50  0000 L CNN
-F 2 "SM0402" H 950 5000 60  0001 C CNN
-F 3 "" H 950 5000 60  0001 C CNN
-       1    950  5000
-       1    0    0    -1  
-$EndComp
-NoConn ~ 4600 3400
-NoConn ~ 4600 3500
-NoConn ~ 4600 4000
-NoConn ~ 4600 4100
-NoConn ~ 4600 4200
-Text Notes 9450 1050 0    60   ~ 0
-Kicad-internal stuff, ignore
-$Comp
-L PWR_FLAG #FLG1
-U 1 1 4FCB8E1B
-P 10100 1300
-F 0 "#FLG1" H 10100 1395 30  0001 C CNN
-F 1 "PWR_FLAG" H 10100 1480 30  0000 C CNN
-F 2 "" H 10100 1300 60  0001 C CNN
-F 3 "" H 10100 1300 60  0001 C CNN
-       1    10100 1300
-       1    0    0    -1  
-$EndComp
-Text Notes 5100 6500 0    60   ~ 0
-LED\n
-$Comp
-L R R5
-U 1 1 4FCAAEBE
-P 5200 6200
-F 0 "R5" V 5280 6200 50  0000 C CNN
-F 1 "2K7" V 5200 6200 50  0000 C CNN
-F 2 "SM0402" H 5200 6200 60  0001 C CNN
-F 3 "" H 5200 6200 60  0001 C CNN
-       1    5200 6200
-       0    -1   -1   0   
-$EndComp
-$Comp
-L LED D1
-U 1 1 4FCAAEBC
-P 4800 6200
-F 0 "D1" H 4800 6300 50  0000 C CNN
-F 1 "LED1" H 4800 6100 50  0000 C CNN
-F 2 "SM0402" H 4800 6200 60  0001 C CNN
-F 3 "" H 4800 6200 60  0001 C CNN
-       1    4800 6200
-       -1   0    0    1   
-$EndComp
-$Comp
-L C C15
-U 1 1 4FCAA86B
-P 10250 5800
-F 0 "C15" H 10300 5900 50  0000 L CNN
-F 1 "100nF" H 10000 5700 50  0000 L CNN
-F 2 "SM0402" H 10250 5800 60  0001 C CNN
-F 3 "" H 10250 5800 60  0001 C CNN
-       1    10250 5800
-       1    0    0    -1  
-$EndComp
-$Comp
-L C C16
-U 1 1 4FCAA863
-P 10550 5800
-F 0 "C16" H 10600 5900 50  0000 L CNN
-F 1 "2.2uF" H 10600 5700 50  0000 L CNN
-F 2 "SM0402" H 10550 5800 60  0001 C CNN
-F 3 "" H 10550 5800 60  0001 C CNN
-       1    10550 5800
-       1    0    0    -1  
-$EndComp
-$Comp
-L C C14
-U 1 1 4FCAA85F
-P 8800 5800
-F 0 "C14" H 8850 5900 50  0000 L CNN
-F 1 "1uF" H 8850 5700 50  0000 L CNN
-F 2 "SM0402" H 8800 5800 60  0001 C CNN
-F 3 "" H 8800 5800 60  0001 C CNN
-       1    8800 5800
-       1    0    0    -1  
-$EndComp
-$Comp
-L MIC5319 U2
-U 1 1 4FCAA78E
-P 9550 5450
-F 0 "U2" H 9750 5150 60  0000 C CNN
-F 1 "MIC5319" H 9550 5700 60  0000 C CNN
-F 2 "SOT23-5" H 9200 4800 60  0001 C CNN
-F 3 "" H 9550 5450 60  0001 C CNN
-       1    9550 5450
-       1    0    0    -1  
-$EndComp
-$Comp
-L MICRO-USB-B-SMD U1
-U 1 1 4FCAA5E6
-P 1550 1100
-F 0 "U1" H 1650 650 60  0000 C CNN
-F 1 "MICRO-USB-B-SMD" H 1400 1450 60  0000 C CNN
-F 2 "MICRO-USB-B-SMD" H 1550 1100 60  0001 C CNN
-F 3 "" H 1550 1100 60  0001 C CNN
-       1    1550 1100
-       1    0    0    -1  
-$EndComp
-Text Label 4500 4400 2    60   ~ 0
-RESET#
-Text Label 1450 4750 0    60   ~ 0
-RESET#
-$Comp
-L R R2
-U 1 1 4FCAA3E6
-P 1200 4750
-F 0 "R2" V 1280 4750 50  0000 C CNN
-F 1 "2K7" V 1200 4750 50  0000 C CNN
-F 2 "SM0402" H 1200 4750 60  0001 C CNN
-F 3 "" H 1200 4750 60  0001 C CNN
-       1    1200 4750
-       0    -1   -1   0   
-$EndComp
-Text Notes 2250 5050 2    60   ~ 0
-RESET# is tied to VCC\nvia 2K7 and 1uF
-Text Label 1450 4000 0    60   ~ 0
-WAKEUP
-Text Notes 1900 4250 2    60   ~ 0
-WAKEUP is tied to VCC
-Text Label 4500 4600 2    60   ~ 0
-WAKEUP
-Text Label 1350 2500 2    60   ~ 0
-XTALIN
-Text Label 2150 2500 0    60   ~ 0
-XTALOUT
-Text Label 4500 2900 2    60   ~ 0
-XTALIN
-Text Label 4500 2800 2    60   ~ 0
-XTALOUT
-Text Notes 2050 3550 2    60   ~ 0
-24MHz crystal
-Text Notes 10100 6400 2    60   ~ 0
-3.3V voltage regulator
-Text Notes 1500 2050 2    60   ~ 0
-USB
-Text Notes 4800 5200 2    60   ~ 0
-RESERVED must be tied to GND
-NoConn ~ 4600 2600
-NoConn ~ 4600 2500
-Text Notes 700  7650 0    60   ~ 0
-2.2uF/100nF bypass capacitors for all AVCC pins\n
-$Comp
-L C C11
-U 1 1 4FCA9C86
-P 2200 7050
-F 0 "C11" H 2250 7150 50  0000 L CNN
-F 1 "100nF" V 2300 6750 50  0000 L CNN
-F 2 "SM0402" H 2200 7050 60  0001 C CNN
-F 3 "" H 2200 7050 60  0001 C CNN
-       1    2200 7050
-       1    0    0    -1  
-$EndComp
-$Comp
-L C C8
-U 1 1 4FCA9C7E
-P 1900 7050
-F 0 "C8" H 1950 7150 50  0000 L CNN
-F 1 "100nF" V 2000 6750 50  0000 L CNN
-F 2 "SM0402" H 1900 7050 60  0001 C CNN
-F 3 "" H 1900 7050 60  0001 C CNN
-       1    1900 7050
-       1    0    0    -1  
-$EndComp
-$Comp
-L C C6
-U 1 1 4FCA9C79
-P 1600 7050
-F 0 "C6" H 1650 7150 50  0000 L CNN
-F 1 "2.2uF" V 1700 6750 50  0000 L CNN
-F 2 "SM0402" H 1600 7050 60  0001 C CNN
-F 3 "" H 1600 7050 60  0001 C CNN
-       1    1600 7050
-       1    0    0    -1  
-$EndComp
-$Comp
-L C C3
-U 1 1 4FCA9C5D
-P 1300 7050
-F 0 "C3" H 1350 7150 50  0000 L CNN
-F 1 "2.2uF" V 1400 6750 50  0000 L CNN
-F 2 "SM0402" H 1300 7050 60  0001 C CNN
-F 3 "" H 1300 7050 60  0001 C CNN
-       1    1300 7050
-       1    0    0    -1  
-$EndComp
-Text Notes 1100 6450 0    60   ~ 0
-100nF bypass capacitors for all VCC pins\n
-$Comp
-L C C13
-U 1 1 4FCA97A0
-P 2800 5850
-F 0 "C13" H 2850 5950 50  0000 L CNN
-F 1 "100nF" V 2900 5550 50  0000 L CNN
-F 2 "SM0402" H 2800 5850 60  0001 C CNN
-F 3 "" H 2800 5850 60  0001 C CNN
-       1    2800 5850
-       1    0    0    -1  
-$EndComp
-$Comp
-L C C12
-U 1 1 4FCA9799
-P 2500 5850
-F 0 "C12" H 2550 5950 50  0000 L CNN
-F 1 "100nF" V 2600 5550 50  0000 L CNN
-F 2 "SM0402" H 2500 5850 60  0001 C CNN
-F 3 "" H 2500 5850 60  0001 C CNN
-       1    2500 5850
-       1    0    0    -1  
-$EndComp
-$Comp
-L C C7
-U 1 1 4FCA9793
-P 1900 5850
-F 0 "C7" H 1950 5950 50  0000 L CNN
-F 1 "100nF" V 2000 5550 50  0000 L CNN
-F 2 "SM0402" H 1900 5850 60  0001 C CNN
-F 3 "" H 1900 5850 60  0001 C CNN
-       1    1900 5850
-       1    0    0    -1  
-$EndComp
-$Comp
-L C C5
-U 1 1 4FCA9777
-P 1600 5850
-F 0 "C5" H 1650 5950 50  0000 L CNN
-F 1 "100nF" V 1700 5550 50  0000 L CNN
-F 2 "SM0402" H 1600 5850 60  0001 C CNN
-F 3 "" H 1600 5850 60  0001 C CNN
-       1    1600 5850
-       1    0    0    -1  
-$EndComp
-$Comp
-L C C10
-U 1 1 4FCA9724
-P 2200 5850
-F 0 "C10" H 2250 5950 50  0000 L CNN
-F 1 "100nF" V 2300 5550 50  0000 L CNN
-F 2 "SM0402" H 2200 5850 60  0001 C CNN
-F 3 "" H 2200 5850 60  0001 C CNN
-       1    2200 5850
-       1    0    0    -1  
-$EndComp
-$Comp
-L C C2
-U 1 1 4FCA96AB
-P 1300 5850
-F 0 "C2" H 1350 5950 50  0000 L CNN
-F 1 "100nF" V 1400 5550 50  0000 L CNN
-F 2 "SM0402" H 1300 5850 60  0001 C CNN
-F 3 "" H 1300 5850 60  0001 C CNN
-       1    1300 5850
-       1    0    0    -1  
-$EndComp
-$Comp
-L R R1
-U 1 1 4FCA95B2
-P 1200 4000
-F 0 "R1" V 1280 4000 50  0000 C CNN
-F 1 "100K" V 1200 4000 50  0000 C CNN
-F 2 "SM0402" H 1200 4000 60  0001 C CNN
-F 3 "" H 1200 4000 60  0001 C CNN
-       1    1200 4000
-       0    -1   -1   0   
-$EndComp
-Text Notes 2450 4700 0    60   ~ 0
-I2C pullups
-Text Label 2950 4400 0    60   ~ 0
-SDA
-Text Label 2950 4000 0    60   ~ 0
-SCL
-Text Label 4500 3800 2    60   ~ 0
-SDA
-Text Label 4500 3700 2    60   ~ 0
-SCL
-$Comp
-L R R4
-U 1 1 4FCA93BD
-P 2700 4400
-F 0 "R4" V 2780 4400 50  0000 C CNN
-F 1 "2K7" V 2700 4400 50  0000 C CNN
-F 2 "SM0402" H 2700 4400 60  0001 C CNN
-F 3 "" H 2700 4400 60  0001 C CNN
-       1    2700 4400
-       0    1    1    0   
-$EndComp
-Text Label 4500 3200 2    60   ~ 0
-DM
-Text Label 4500 3100 2    60   ~ 0
-DP
-NoConn ~ 7300 3200
-NoConn ~ 7300 3100
-NoConn ~ 7300 3000
-NoConn ~ 7300 2900
-NoConn ~ 7300 2800
-NoConn ~ 7300 2700
-NoConn ~ 7300 2600
-NoConn ~ 7300 4500
-NoConn ~ 7300 4600
-NoConn ~ 7300 4700
-NoConn ~ 7300 4800
-NoConn ~ 7300 4900
-NoConn ~ 7300 5000
-$Comp
-L C C4
-U 1 1 4FC8F6F8
-P 1350 2900
-F 0 "C4" H 1400 3000 50  0000 L CNN
-F 1 "12pF" H 1400 2800 50  0000 L CNN
-F 2 "SM0402" H 1350 2900 60  0001 C CNN
-F 3 "" H 1350 2900 60  0001 C CNN
-       1    1350 2900
-       1    0    0    -1  
-$EndComp
-$Comp
-L C C9
-U 1 1 4FC8F6F5
-P 2150 2900
-F 0 "C9" H 2200 3000 50  0000 L CNN
-F 1 "12pF" H 2200 2800 50  0000 L CNN
-F 2 "SM0402" H 2150 2900 60  0001 C CNN
-F 3 "" H 2150 2900 60  0001 C CNN
-       1    2150 2900
-       1    0    0    -1  
-$EndComp
-Text Label 2250 900  0    60   ~ 0
-VBUS
-Text Label 2250 1000 0    60   ~ 0
-DM
-Text Label 2250 1100 0    60   ~ 0
-DP
-NoConn ~ 2050 1200
-Text Label 1150 1800 2    60   ~ 0
-SHIELD
-Text Label 8700 5400 2    60   ~ 0
-VBUS
-$Comp
-L CY7C68013A-56 IC1
-U 1 1 4FC8F245
-P 6000 3800
-F 0 "IC1" H 6650 2300 60  0000 C CNN
-F 1 "CY7C68013A-56" H 6000 3800 60  0000 C CNN
-F 2 "QFN56" H 6000 3800 60  0001 C CNN
-F 3 "" H 6000 3800 60  0001 C CNN
-       1    6000 3800
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR6
-U 1 1 596302F6
-P 1300 6800
-F 0 "#PWR6" H 1300 6650 50  0001 C CNN
-F 1 "+3.3V" H 1300 6940 50  0000 C CNN
-F 2 "" H 1300 6800 50  0000 C CNN
-F 3 "" H 1300 6800 50  0000 C CNN
-       1    1300 6800
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR11
-U 1 1 596304F6
-P 1600 6800
-F 0 "#PWR11" H 1600 6650 50  0001 C CNN
-F 1 "+3.3V" H 1600 6940 50  0000 C CNN
-F 2 "" H 1600 6800 50  0000 C CNN
-F 3 "" H 1600 6800 50  0000 C CNN
-       1    1600 6800
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR15
-U 1 1 59630555
-P 1900 6800
-F 0 "#PWR15" H 1900 6650 50  0001 C CNN
-F 1 "+3.3V" H 1900 6940 50  0000 C CNN
-F 2 "" H 1900 6800 50  0000 C CNN
-F 3 "" H 1900 6800 50  0000 C CNN
-       1    1900 6800
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR22
-U 1 1 596305B4
-P 2200 6800
-F 0 "#PWR22" H 2200 6650 50  0001 C CNN
-F 1 "+3.3V" H 2200 6940 50  0000 C CNN
-F 2 "" H 2200 6800 50  0000 C CNN
-F 3 "" H 2200 6800 50  0000 C CNN
-       1    2200 6800
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR7
-U 1 1 59630673
-P 1300 7300
-F 0 "#PWR7" H 1300 7050 50  0001 C CNN
-F 1 "GND" H 1300 7150 50  0000 C CNN
-F 2 "" H 1300 7300 50  0000 C CNN
-F 3 "" H 1300 7300 50  0000 C CNN
-       1    1300 7300
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR12
-U 1 1 596307A3
-P 1600 7300
-F 0 "#PWR12" H 1600 7050 50  0001 C CNN
-F 1 "GND" H 1600 7150 50  0000 C CNN
-F 2 "" H 1600 7300 50  0000 C CNN
-F 3 "" H 1600 7300 50  0000 C CNN
-       1    1600 7300
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR16
-U 1 1 59630802
-P 1900 7300
-F 0 "#PWR16" H 1900 7050 50  0001 C CNN
-F 1 "GND" H 1900 7150 50  0000 C CNN
-F 2 "" H 1900 7300 50  0000 C CNN
-F 3 "" H 1900 7300 50  0000 C CNN
-       1    1900 7300
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR23
-U 1 1 59630899
-P 2200 7300
-F 0 "#PWR23" H 2200 7050 50  0001 C CNN
-F 1 "GND" H 2200 7150 50  0000 C CNN
-F 2 "" H 2200 7300 50  0000 C CNN
-F 3 "" H 2200 7300 50  0000 C CNN
-       1    2200 7300
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR5
-U 1 1 5963105D
-P 1300 6100
-F 0 "#PWR5" H 1300 5850 50  0001 C CNN
-F 1 "GND" H 1300 5950 50  0000 C CNN
-F 2 "" H 1300 6100 50  0000 C CNN
-F 3 "" H 1300 6100 50  0000 C CNN
-       1    1300 6100
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR10
-U 1 1 59631112
-P 1600 6100
-F 0 "#PWR10" H 1600 5850 50  0001 C CNN
-F 1 "GND" H 1600 5950 50  0000 C CNN
-F 2 "" H 1600 6100 50  0000 C CNN
-F 3 "" H 1600 6100 50  0000 C CNN
-       1    1600 6100
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR14
-U 1 1 5963118D
-P 1900 6100
-F 0 "#PWR14" H 1900 5850 50  0001 C CNN
-F 1 "GND" H 1900 5950 50  0000 C CNN
-F 2 "" H 1900 6100 50  0000 C CNN
-F 3 "" H 1900 6100 50  0000 C CNN
-       1    1900 6100
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR21
-U 1 1 596311EC
-P 2200 6100
-F 0 "#PWR21" H 2200 5850 50  0001 C CNN
-F 1 "GND" H 2200 5950 50  0000 C CNN
-F 2 "" H 2200 6100 50  0000 C CNN
-F 3 "" H 2200 6100 50  0000 C CNN
-       1    2200 6100
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR27
-U 1 1 5963124B
-P 2500 6100
-F 0 "#PWR27" H 2500 5850 50  0001 C CNN
-F 1 "GND" H 2500 5950 50  0000 C CNN
-F 2 "" H 2500 6100 50  0000 C CNN
-F 3 "" H 2500 6100 50  0000 C CNN
-       1    2500 6100
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR29
-U 1 1 596312AA
-P 2800 6100
-F 0 "#PWR29" H 2800 5850 50  0001 C CNN
-F 1 "GND" H 2800 5950 50  0000 C CNN
-F 2 "" H 2800 6100 50  0000 C CNN
-F 3 "" H 2800 6100 50  0000 C CNN
-       1    2800 6100
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR4
-U 1 1 59631505
-P 1300 5600
-F 0 "#PWR4" H 1300 5450 50  0001 C CNN
-F 1 "+3.3V" H 1300 5740 50  0000 C CNN
-F 2 "" H 1300 5600 50  0000 C CNN
-F 3 "" H 1300 5600 50  0000 C CNN
-       1    1300 5600
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR9
-U 1 1 59631670
-P 1600 5600
-F 0 "#PWR9" H 1600 5450 50  0001 C CNN
-F 1 "+3.3V" H 1600 5740 50  0000 C CNN
-F 2 "" H 1600 5600 50  0000 C CNN
-F 3 "" H 1600 5600 50  0000 C CNN
-       1    1600 5600
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR13
-U 1 1 596316CF
-P 1900 5600
-F 0 "#PWR13" H 1900 5450 50  0001 C CNN
-F 1 "+3.3V" H 1900 5740 50  0000 C CNN
-F 2 "" H 1900 5600 50  0000 C CNN
-F 3 "" H 1900 5600 50  0000 C CNN
-       1    1900 5600
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR20
-U 1 1 5963172E
-P 2200 5600
-F 0 "#PWR20" H 2200 5450 50  0001 C CNN
-F 1 "+3.3V" H 2200 5740 50  0000 C CNN
-F 2 "" H 2200 5600 50  0000 C CNN
-F 3 "" H 2200 5600 50  0000 C CNN
-       1    2200 5600
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR26
-U 1 1 5963178D
-P 2500 5600
-F 0 "#PWR26" H 2500 5450 50  0001 C CNN
-F 1 "+3.3V" H 2500 5740 50  0000 C CNN
-F 2 "" H 2500 5600 50  0000 C CNN
-F 3 "" H 2500 5600 50  0000 C CNN
-       1    2500 5600
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR28
-U 1 1 596317EC
-P 2800 5600
-F 0 "#PWR28" H 2800 5450 50  0001 C CNN
-F 1 "+3.3V" H 2800 5740 50  0000 C CNN
-F 2 "" H 2800 5600 50  0000 C CNN
-F 3 "" H 2800 5600 50  0000 C CNN
-       1    2800 5600
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR3
-U 1 1 59631E88
-P 950 5250
-F 0 "#PWR3" H 950 5000 50  0001 C CNN
-F 1 "GND" H 950 5100 50  0000 C CNN
-F 2 "" H 950 5250 50  0000 C CNN
-F 3 "" H 950 5250 50  0000 C CNN
-       1    950  5250
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR2
-U 1 1 59631F04
-P 950 4650
-F 0 "#PWR2" H 950 4500 50  0001 C CNN
-F 1 "+3.3V" H 950 4790 50  0000 C CNN
-F 2 "" H 950 4650 50  0000 C CNN
-F 3 "" H 950 4650 50  0000 C CNN
-       1    950  4650
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR1
-U 1 1 5963220C
-P 950 3900
-F 0 "#PWR1" H 950 3750 50  0001 C CNN
-F 1 "+3.3V" H 950 4040 50  0000 C CNN
-F 2 "" H 950 3900 50  0000 C CNN
-F 3 "" H 950 3900 50  0000 C CNN
-       1    950  3900
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR24
-U 1 1 596322DD
-P 2450 3900
-F 0 "#PWR24" H 2450 3750 50  0001 C CNN
-F 1 "+3.3V" H 2450 4040 50  0000 C CNN
-F 2 "" H 2450 3900 50  0000 C CNN
-F 3 "" H 2450 3900 50  0000 C CNN
-       1    2450 3900
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR25
-U 1 1 5963233C
-P 2450 4300
-F 0 "#PWR25" H 2450 4150 50  0001 C CNN
-F 1 "+3.3V" H 2450 4440 50  0000 C CNN
-F 2 "" H 2450 4300 50  0000 C CNN
-F 3 "" H 2450 4300 50  0000 C CNN
-       1    2450 4300
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR30
-U 1 1 596323D4
-P 4500 4900
-F 0 "#PWR30" H 4500 4650 50  0001 C CNN
-F 1 "GND" H 4500 4750 50  0000 C CNN
-F 2 "" H 4500 4900 50  0000 C CNN
-F 3 "" H 4500 4900 50  0000 C CNN
-       1    4500 4900
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR19
-U 1 1 59633244
-P 2150 3150
-F 0 "#PWR19" H 2150 2900 50  0001 C CNN
-F 1 "GND" H 2150 3000 50  0000 C CNN
-F 2 "" H 2150 3150 50  0000 C CNN
-F 3 "" H 2150 3150 50  0000 C CNN
-       1    2150 3150
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR8
-U 1 1 596332A3
-P 1350 3150
-F 0 "#PWR8" H 1350 2900 50  0001 C CNN
-F 1 "GND" H 1350 3000 50  0000 C CNN
-F 2 "" H 1350 3150 50  0000 C CNN
-F 3 "" H 1350 3150 50  0000 C CNN
-       1    1350 3150
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR18
-U 1 1 59633E86
-P 2150 1900
-F 0 "#PWR18" H 2150 1650 50  0001 C CNN
-F 1 "GND" H 2150 1750 50  0000 C CNN
-F 2 "" H 2150 1900 50  0000 C CNN
-F 3 "" H 2150 1900 50  0000 C CNN
-       1    2150 1900
-       1    0    0    -1  
-$EndComp
-$Comp
-L +5V #PWR17
-U 1 1 5963439C
-P 2150 800
-F 0 "#PWR17" H 2150 650 50  0001 C CNN
-F 1 "+5V" H 2150 940 50  0000 C CNN
-F 2 "" H 2150 800 50  0000 C CNN
-F 3 "" H 2150 800 50  0000 C CNN
-       1    2150 800 
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR33
-U 1 1 59635EE4
-P 5900 5500
-F 0 "#PWR33" H 5900 5250 50  0001 C CNN
-F 1 "GND" H 5900 5350 50  0000 C CNN
-F 2 "" H 5900 5500 50  0000 C CNN
-F 3 "" H 5900 5500 50  0000 C CNN
-       1    5900 5500
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR31
-U 1 1 59636270
-P 4550 6300
-F 0 "#PWR31" H 4550 6050 50  0001 C CNN
-F 1 "GND" H 4550 6150 50  0000 C CNN
-F 2 "" H 4550 6300 50  0000 C CNN
-F 3 "" H 4550 6300 50  0000 C CNN
-       1    4550 6300
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR40
-U 1 1 5963B0F1
-P 10550 5300
-F 0 "#PWR40" H 10550 5150 50  0001 C CNN
-F 1 "+3.3V" H 10550 5440 50  0000 C CNN
-F 2 "" H 10550 5300 50  0000 C CNN
-F 3 "" H 10550 5300 50  0000 C CNN
-       1    10550 5300
-       1    0    0    -1  
-$EndComp
-$Comp
-L Crystal Y1
-U 1 1 5963E355
-P 1750 2650
-F 0 "Y1" H 1750 2800 50  0000 C CNN
-F 1 "Crystal" H 1750 2500 50  0000 C CNN
-F 2 "ABM11" H 1750 2650 50  0001 C CNN
-F 3 "" H 1750 2650 50  0000 C CNN
-       1    1750 2650
-       1    0    0    -1  
-$EndComp
-Wire Wire Line
-       9600 3050 9600 2950
-Wire Wire Line
-       7300 2500 7400 2500
-Wire Wire Line
-       9600 2950 9500 2950
-Wire Wire Line
-       9500 2750 9600 2750
-Wire Wire Line
-       9500 2550 9600 2550
-Wire Wire Line
-       8650 2850 8550 2850
-Wire Wire Line
-       8650 2650 8550 2650
-Wire Wire Line
-       10100 1300 10100 1400
-Connection ~ 1350 1800
-Wire Wire Line
-       1350 1700 1350 1800
-Wire Wire Line
-       10150 5400 10550 5400
-Wire Wire Line
-       10250 5550 10150 5550
-Wire Wire Line
-       4600 4400 4500 4400
-Wire Wire Line
-       1350 2500 1350 2750
-Wire Wire Line
-       4600 2800 4500 2800
-Wire Wire Line
-       2150 2500 2150 2750
-Wire Wire Line
-       4500 3200 4600 3200
-Wire Wire Line
-       7300 4100 7400 4100
-Wire Wire Line
-       7300 3900 7400 3900
-Wire Wire Line
-       7300 3700 7400 3700
-Wire Wire Line
-       7300 3500 7400 3500
-Wire Wire Line
-       4600 3800 4500 3800
-Wire Wire Line
-       5900 5500 5900 5300
-Connection ~ 5700 5400
-Wire Wire Line
-       5700 5300 5700 5400
-Connection ~ 5900 5400
-Connection ~ 6200 5400
-Wire Wire Line
-       6200 5300 6200 5400
-Wire Wire Line
-       5900 1900 5900 2100
-Connection ~ 5700 2000
-Wire Wire Line
-       5700 2100 5700 2000
-Connection ~ 5900 2000
-Connection ~ 6200 2000
-Wire Wire Line
-       6200 2100 6200 2000
-Wire Wire Line
-       2250 1100 2050 1100
-Wire Wire Line
-       2250 900  2050 900 
-Connection ~ 2150 1800
-Wire Wire Line
-       2150 1900 2150 1300
-Wire Wire Line
-       2150 1300 2050 1300
-Wire Wire Line
-       1150 1700 1150 1800
-Wire Wire Line
-       9550 5950 9550 6050
-Connection ~ 8800 5400
-Connection ~ 10550 5400
-Wire Wire Line
-       2250 1000 2050 1000
-Wire Wire Line
-       2150 900  2150 800 
-Connection ~ 2150 900 
-Wire Wire Line
-       5500 2100 5500 2000
-Wire Wire Line
-       5500 2000 6300 2000
-Wire Wire Line
-       6300 2000 6300 2100
-Wire Wire Line
-       6000 2100 6000 2000
-Connection ~ 6000 2000
-Wire Wire Line
-       5800 2100 5800 2000
-Connection ~ 5800 2000
-Wire Wire Line
-       5600 2100 5600 2000
-Connection ~ 5600 2000
-Wire Wire Line
-       5500 5300 5500 5400
-Wire Wire Line
-       5500 5400 6300 5400
-Wire Wire Line
-       6300 5400 6300 5300
-Wire Wire Line
-       6000 5300 6000 5400
-Connection ~ 6000 5400
-Wire Wire Line
-       5800 5300 5800 5400
-Connection ~ 5800 5400
-Wire Wire Line
-       5600 5300 5600 5400
-Connection ~ 5600 5400
-Wire Wire Line
-       4600 3700 4500 3700
-Wire Wire Line
-       4600 4800 4500 4800
-Wire Wire Line
-       4500 4800 4500 4900
-Wire Wire Line
-       7300 3400 7400 3400
-Wire Wire Line
-       7300 3600 7400 3600
-Wire Wire Line
-       7300 3800 7400 3800
-Wire Wire Line
-       7300 4000 7400 4000
-Wire Wire Line
-       4600 3100 4500 3100
-Wire Wire Line
-       4600 2900 4500 2900
-Wire Wire Line
-       4600 4600 4500 4600
-Wire Wire Line
-       9000 5550 8900 5550
-Wire Wire Line
-       8900 5550 8900 5400
-Connection ~ 8900 5400
-Wire Wire Line
-       8700 5400 9000 5400
-Wire Wire Line
-       1450 1700 1450 1800
-Connection ~ 1450 1800
-Wire Wire Line
-       1250 1700 1250 1800
-Connection ~ 1250 1800
-Wire Wire Line
-       8650 2550 8550 2550
-Wire Wire Line
-       8650 2750 8550 2750
-Wire Wire Line
-       8650 2950 8550 2950
-Wire Wire Line
-       9500 2650 9600 2650
-Wire Wire Line
-       9500 2850 9600 2850
-Wire Wire Line
-       1150 1800 2150 1800
-Wire Wire Line
-       8550 2950 8550 3050
-Wire Wire Line
-       1300 5600 1300 5700
-Wire Wire Line
-       1600 5600 1600 5700
-Wire Wire Line
-       1900 5600 1900 5700
-Wire Wire Line
-       2200 5600 2200 5700
-Wire Wire Line
-       2500 5600 2500 5700
-Wire Wire Line
-       1300 6000 1300 6100
-Wire Wire Line
-       1600 6000 1600 6100
-Wire Wire Line
-       1900 6000 1900 6100
-Wire Wire Line
-       2200 6000 2200 6100
-Wire Wire Line
-       2500 6000 2500 6100
-Wire Wire Line
-       2800 5600 2800 5700
-Wire Wire Line
-       2800 6000 2800 6100
-Wire Wire Line
-       1300 6800 1300 6900
-Wire Wire Line
-       1600 6800 1600 6900
-Wire Wire Line
-       1900 6800 1900 6900
-Wire Wire Line
-       2200 6800 2200 6900
-Wire Wire Line
-       2200 7200 2200 7300
-Wire Wire Line
-       1900 7200 1900 7300
-Wire Wire Line
-       1600 7200 1600 7300
-Wire Wire Line
-       1300 7200 1300 7300
-Wire Wire Line
-       1350 3050 1350 3150
-Wire Wire Line
-       2150 3050 2150 3150
-Wire Wire Line
-       1600 2650 1350 2650
-Connection ~ 1350 2650
-Wire Wire Line
-       2150 2650 1900 2650
-Connection ~ 2150 2650
-Wire Wire Line
-       1050 4000 950  4000
-Wire Wire Line
-       950  4000 950  3900
-Wire Wire Line
-       1350 4000 1450 4000
-Wire Wire Line
-       1350 4750 1450 4750
-Wire Wire Line
-       1050 4750 950  4750
-Wire Wire Line
-       950  4650 950  4850
-Connection ~ 950  4750
-Wire Wire Line
-       950  5250 950  5150
-Wire Wire Line
-       2550 4400 2450 4400
-Wire Wire Line
-       2450 4400 2450 4300
-Wire Wire Line
-       2850 4400 2950 4400
-Wire Wire Line
-       2550 4000 2450 4000
-Wire Wire Line
-       2450 4000 2450 3900
-Wire Wire Line
-       2850 4000 2950 4000
-Wire Wire Line
-       5350 6200 5450 6200
-Wire Wire Line
-       5050 6200 4950 6200
-Wire Wire Line
-       4650 6200 4550 6200
-Wire Wire Line
-       4550 6200 4550 6300
-Wire Wire Line
-       10250 5550 10250 5650
-Wire Wire Line
-       10250 5950 10250 6050
-Wire Wire Line
-       10550 5650 10550 5300
-Wire Wire Line
-       10550 5950 10550 6050
-$Comp
-L GND #PWR41
-U 1 1 5962E127
-P 10550 6050
-F 0 "#PWR41" H 10550 5800 50  0001 C CNN
-F 1 "GND" H 10550 5900 50  0000 C CNN
-F 2 "" H 10550 6050 50  0000 C CNN
-F 3 "" H 10550 6050 50  0000 C CNN
-       1    10550 6050
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR39
-U 1 1 5962E1AA
-P 10250 6050
-F 0 "#PWR39" H 10250 5800 50  0001 C CNN
-F 1 "GND" H 10250 5900 50  0000 C CNN
-F 2 "" H 10250 6050 50  0000 C CNN
-F 3 "" H 10250 6050 50  0000 C CNN
-       1    10250 6050
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR36
-U 1 1 5962F418
-P 9550 6050
-F 0 "#PWR36" H 9550 5800 50  0001 C CNN
-F 1 "GND" H 9550 5900 50  0000 C CNN
-F 2 "" H 9550 6050 50  0000 C CNN
-F 3 "" H 9550 6050 50  0000 C CNN
-       1    9550 6050
-       1    0    0    -1  
-$EndComp
-Wire Wire Line
-       8800 5650 8800 5400
-Wire Wire Line
-       8800 5950 8800 6050
-$Comp
-L GND #PWR35
-U 1 1 5962F669
-P 8800 6050
-F 0 "#PWR35" H 8800 5800 50  0001 C CNN
-F 1 "GND" H 8800 5900 50  0000 C CNN
-F 2 "" H 8800 6050 50  0000 C CNN
-F 3 "" H 8800 6050 50  0000 C CNN
-       1    8800 6050
-       1    0    0    -1  
-$EndComp
-$Comp
-L +3.3V #PWR32
-U 1 1 59631492
-P 5900 1900
-F 0 "#PWR32" H 5900 1750 50  0001 C CNN
-F 1 "+3.3V" H 5900 2040 50  0000 C CNN
-F 2 "" H 5900 1900 50  0000 C CNN
-F 3 "" H 5900 1900 50  0000 C CNN
-       1    5900 1900
-       1    0    0    -1  
-$EndComp
-$Comp
-L CONN_01X05 P1
-U 1 1 596377A2
-P 8850 2750
-F 0 "P1" H 8850 3050 50  0000 C CNN
-F 1 "CONN_01X05" V 8950 2750 50  0000 C CNN
-F 2 "CONN-5x1-SMD-127MM" H 8850 2750 50  0001 C CNN
-F 3 "" H 8850 2750 50  0000 C CNN
-       1    8850 2750
-       1    0    0    -1  
-$EndComp
-$Comp
-L CONN_01X05 P2
-U 1 1 59637927
-P 9300 2750
-F 0 "P2" H 9300 3050 50  0000 C CNN
-F 1 "CONN_01X05" V 9400 2750 50  0000 C CNN
-F 2 "CONN-5x1-SMD-127MM" H 9300 2750 50  0001 C CNN
-F 3 "" H 9300 2750 50  0000 C CNN
-       1    9300 2750
-       -1   0    0    1   
-$EndComp
-$Comp
-L GND #PWR37
-U 1 1 5963AEBB
-P 9600 3050
-F 0 "#PWR37" H 9600 2800 50  0001 C CNN
-F 1 "GND" H 9600 2900 50  0000 C CNN
-F 2 "" H 9600 3050 50  0000 C CNN
-F 3 "" H 9600 3050 50  0000 C CNN
-       1    9600 3050
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR34
-U 1 1 5963AF21
-P 8550 3050
-F 0 "#PWR34" H 8550 2800 50  0001 C CNN
-F 1 "GND" H 8550 2900 50  0000 C CNN
-F 2 "" H 8550 3050 50  0000 C CNN
-F 3 "" H 8550 3050 50  0000 C CNN
-       1    8550 3050
-       1    0    0    -1  
-$EndComp
-$Comp
-L GND #PWR38
-U 1 1 5962BAD9
-P 10100 1400
-F 0 "#PWR38" H 10100 1150 50  0001 C CNN
-F 1 "GND" H 10100 1250 50  0000 C CNN
-F 2 "" H 10100 1400 50  0000 C CNN
-F 3 "" H 10100 1400 50  0000 C CNN
-       1    10100 1400
-       1    0    0    -1  
-$EndComp
-$EndSCHEMATC
diff --git a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.kicad_pcb b/hardware/fx2grok-tiny/0.1/fx2grok-tiny.kicad_pcb
new file mode 100644 (file)
index 0000000..373cc8a
--- /dev/null
@@ -0,0 +1,1262 @@
+(kicad_pcb (version 4) (host pcbnew 4.0.5+dfsg1-4)
+
+  (general
+    (links 81)
+    (no_connects 7)
+    (area 47.519771 26.95194 88.773 68.877542)
+    (thickness 1.6002)
+    (drawings 8)
+    (tracks 261)
+    (zones 0)
+    (modules 28)
+    (nets 23)
+  )
+
+  (page User 152.4 101.6)
+  (title_block
+    (date "4 apr 2013")
+  )
+
+  (layers
+    (0 Front signal)
+    (31 Back signal)
+    (32 B.Adhes user)
+    (33 F.Adhes user)
+    (34 B.Paste user)
+    (35 F.Paste user)
+    (36 B.SilkS user)
+    (37 F.SilkS user)
+    (38 B.Mask user)
+    (39 F.Mask user)
+    (40 Dwgs.User user)
+    (41 Cmts.User user)
+    (42 Eco1.User user)
+    (43 Eco2.User user)
+    (44 Edge.Cuts user)
+  )
+
+  (setup
+    (last_trace_width 0.127)
+    (trace_clearance 0.1524)
+    (zone_clearance 0.508)
+    (zone_45_only no)
+    (trace_min 0.127)
+    (segment_width 0.381)
+    (edge_width 0.381)
+    (via_size 0.44958)
+    (via_drill 0.20066)
+    (via_min_size 0.44958)
+    (via_min_drill 0.20066)
+    (uvia_size 0.508)
+    (uvia_drill 0.127)
+    (uvias_allowed no)
+    (uvia_min_size 0.508)
+    (uvia_min_drill 0.127)
+    (pcb_text_width 0.3048)
+    (pcb_text_size 1.524 2.032)
+    (mod_edge_width 0.381)
+    (mod_text_size 1.524 1.524)
+    (mod_text_width 0.3048)
+    (pad_size 1.89992 1.89992)
+    (pad_drill 0)
+    (pad_to_mask_clearance 0.09906)
+    (aux_axis_origin 0 0)
+    (visible_elements FFFFFF7F)
+    (pcbplotparams
+      (layerselection 0x00030_80000001)
+      (usegerberextensions true)
+      (excludeedgelayer true)
+      (linewidth 0.150000)
+      (plotframeref false)
+      (viasonmask false)
+      (mode 1)
+      (useauxorigin false)
+      (hpglpennumber 1)
+      (hpglpenspeed 20)
+      (hpglpendiameter 15)
+      (hpglpenoverlay 0)
+      (psnegative false)
+      (psa4output false)
+      (plotreference true)
+      (plotvalue true)
+      (plotinvisibletext false)
+      (padsonsilk false)
+      (subtractmaskfromsilk false)
+      (outputformat 1)
+      (mirror false)
+      (drillshape 1)
+      (scaleselection 1)
+      (outputdirectory ""))
+  )
+
+  (net 0 "")
+  (net 1 +3.3V)
+  (net 2 +5V)
+  (net 3 /DM)
+  (net 4 /DP)
+  (net 5 /PA0)
+  (net 6 /PB0)
+  (net 7 /PB1)
+  (net 8 /PB2)
+  (net 9 /PB3)
+  (net 10 /PB4)
+  (net 11 /PB5)
+  (net 12 /PB6)
+  (net 13 /PB7)
+  (net 14 /RESET#)
+  (net 15 /SCL)
+  (net 16 /SDA)
+  (net 17 /WAKEUP)
+  (net 18 /XTALIN)
+  (net 19 /XTALOUT)
+  (net 20 GND)
+  (net 21 N-000005)
+  (net 22 N-000044)
+
+  (net_class Default "This is the default net class."
+    (clearance 0.1524)
+    (trace_width 0.127)
+    (via_dia 0.44958)
+    (via_drill 0.20066)
+    (uvia_dia 0.508)
+    (uvia_drill 0.127)
+    (add_net +3.3V)
+    (add_net +5V)
+    (add_net /DM)
+    (add_net /DP)
+    (add_net /PA0)
+    (add_net /PB0)
+    (add_net /PB1)
+    (add_net /PB2)
+    (add_net /PB3)
+    (add_net /PB4)
+    (add_net /PB5)
+    (add_net /PB6)
+    (add_net /PB7)
+    (add_net /RESET#)
+    (add_net /SCL)
+    (add_net /SDA)
+    (add_net /WAKEUP)
+    (add_net /XTALIN)
+    (add_net /XTALOUT)
+    (add_net GND)
+    (add_net N-000005)
+    (add_net N-000044)
+  )
+
+  (module SOT23-5 (layer Front) (tedit 4FCE8523) (tstamp 4FCBF54B)
+    (at 75.15098 41.39946 270)
+    (path /4FCAA78E)
+    (attr smd)
+    (fp_text reference U2 (at 2.19964 -0.29972 360) (layer F.SilkS)
+      (effects (font (size 0.635 0.635) (thickness 0.127)))
+    )
+    (fp_text value MIC5319 (at 18.2499 23.25116 270) (layer F.SilkS) hide
+      (effects (font (size 0.635 0.635) (thickness 0.127)))
+    )
+    (fp_line (start 1.524 -0.889) (end 1.524 0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start 1.524 0.889) (end -1.524 0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.524 0.889) (end -1.524 -0.889) (layer F.SilkS) (width 0.127))
+    (fp_line (start -1.524 -0.889) (end 1.524 -0.889) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.9525 1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask)
+      (net 2 +5V))
+    (pad 3 smd rect (at 0.9525 1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask)
+      (net 2 +5V))
+    (pad 5 smd rect (at -0.9525 -1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask)
+      (net 1 +3.3V))
+    (pad 2 smd rect (at 0 1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask)
+      (net 20 GND))
+    (pad 4 smd rect (at 0.9525 -1.27 270) (size 0.508 0.762) (layers Front F.Paste F.Mask)
+      (net 21 N-000005))
+    (model smd/SOT23_5.wrl
+      (at (xyz 0 0 0))
+      (scale (xyz 0.1 0.1 0.1))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module QFN56 (layer Back) (tedit 4FCBF74A) (tstamp 4FCE8310)
+    (at 73.1012 43.89882 270)
+    (path /4FC8F245)
+    (fp_text reference IC1 (at 0 -0.50038 270) (layer B.SilkS) hide
+      (effects (font (thickness 0.3048)) (justify mirror))
+    )
+    (fp_text value CY7C68013A-56 (at 0.59944 21.69922 270) (layer B.SilkS) hide
+      (effects (font (thickness 0.3048)) (justify mirror))
+    )
+    (pad "" smd rect (at 0 0 270) (size 4.8006 5.4991) (layers Back B.Paste B.Mask)
+      (clearance 0.01016))
+    (pad 28 smd rect (at -3.25374 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 20 GND) (clearance 0.09906))
+    (pad 27 smd rect (at -2.75336 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V) (clearance 0.09906))
+    (pad 26 smd rect (at -2.25298 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 20 GND) (clearance 0.09906))
+    (pad 25 smd rect (at -1.7526 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 13 /PB7) (clearance 0.09906))
+    (pad 24 smd rect (at -1.25476 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 12 /PB6) (clearance 0.09906))
+    (pad 23 smd rect (at -0.75438 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 11 /PB5) (clearance 0.09906))
+    (pad 22 smd rect (at -0.254 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 10 /PB4) (clearance 0.09906))
+    (pad 21 smd rect (at 0.24638 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 9 /PB3) (clearance 0.09906))
+    (pad 20 smd rect (at 0.74676 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 8 /PB2) (clearance 0.09906))
+    (pad 19 smd rect (at 1.24714 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 7 /PB1) (clearance 0.09906))
+    (pad 18 smd rect (at 1.74752 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 6 /PB0) (clearance 0.09906))
+    (pad 17 smd rect (at 2.2479 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V) (clearance 0.09906))
+    (pad 16 smd rect (at 2.74574 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 16 /SDA) (clearance 0.09906))
+    (pad 15 smd rect (at 3.24612 -3.84556 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 15 /SCL) (clearance 0.09906))
+    (pad 29 smd rect (at -3.85318 -3.24612 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 30 smd rect (at -3.85318 -2.74574 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 31 smd rect (at -3.85318 -2.24536 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 32 smd rect (at -3.85318 -1.74498 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V) (clearance 0.09906))
+    (pad 33 smd rect (at -3.85318 -1.2446 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (net 5 /PA0) (clearance 0.09906))
+    (pad 34 smd rect (at -3.85318 -0.74422 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 35 smd rect (at -3.85318 -0.24384 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 36 smd rect (at -3.85318 0.254 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 37 smd rect (at -3.85318 0.75438 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 38 smd rect (at -3.85318 1.25476 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 39 smd rect (at -3.85318 1.75514 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 40 smd rect (at -3.85318 2.25552 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 41 smd rect (at -3.85318 2.7559 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (net 20 GND) (clearance 0.09906))
+    (pad 42 smd rect (at -3.85318 3.25374 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (net 14 /RESET#) (clearance 0.09906))
+    (pad 43 smd rect (at -3.25374 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V) (clearance 0.09906))
+    (pad 44 smd rect (at -2.80162 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 17 /WAKEUP) (clearance 0.09906))
+    (pad 45 smd rect (at -2.30378 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 46 smd rect (at -1.8034 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 47 smd rect (at -1.30302 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 48 smd rect (at -0.80264 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 49 smd rect (at -0.30226 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 50 smd rect (at 0.19812 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 51 smd rect (at 0.6985 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 52 smd rect (at 1.19634 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 53 smd rect (at 1.69672 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 20 GND) (clearance 0.09906))
+    (pad 54 smd rect (at 2.1971 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 55 smd rect (at 2.69748 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V) (clearance 0.09906))
+    (pad 56 smd rect (at 3.19786 3.85572 270) (size 0.2794 0.69088) (layers Back B.Paste B.Mask)
+      (net 20 GND) (clearance 0.09906))
+    (pad 1 smd rect (at 3.7973 3.25628 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 2 smd rect (at 3.7973 2.7559 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 3 smd rect (at 3.7973 2.25552 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V) (clearance 0.09906))
+    (pad 4 smd rect (at 3.7973 1.75514 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (net 19 /XTALOUT) (clearance 0.09906))
+    (pad 5 smd rect (at 3.7973 1.25476 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (net 18 /XTALIN) (clearance 0.09906))
+    (pad 6 smd rect (at 3.7973 0.75438 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (net 20 GND) (clearance 0.09906))
+    (pad 7 smd rect (at 3.7973 0.254 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V) (clearance 0.09906))
+    (pad 8 smd rect (at 3.7973 -0.24384 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (net 4 /DP) (clearance 0.09906))
+    (pad 9 smd rect (at 3.7973 -0.74422 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (net 3 /DM) (clearance 0.09906))
+    (pad 10 smd rect (at 3.7973 -1.2446 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (net 20 GND) (clearance 0.09906))
+    (pad 11 smd rect (at 3.7973 -1.74498 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V) (clearance 0.09906))
+    (pad 12 smd rect (at 3.7973 -2.24536 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (net 20 GND) (clearance 0.09906))
+    (pad 13 smd rect (at 3.7973 -2.74574 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 14 smd rect (at 3.7973 -3.24612 270) (size 0.69088 0.2794) (layers Back B.Paste B.Mask)
+      (net 20 GND) (clearance 0.09906))
+  )
+
+  (module MICRO-USB-B-SMD (layer Front) (tedit 4FCE8BF5) (tstamp 4FCBF583)
+    (at 70.85076 44.74972 270)
+    (path /4FCAA5E6)
+    (fp_text reference U1 (at 1.6002 17.95018 270) (layer F.SilkS) hide
+      (effects (font (thickness 0.3048)))
+    )
+    (fp_text value MICRO-USB-B-SMD (at 2.99974 14.65072 270) (layer F.SilkS) hide
+      (effects (font (thickness 0.3048)))
+    )
+    (pad 1 smd rect (at -1.30048 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask)
+      (net 2 +5V) (clearance 0.09906))
+    (pad 2 smd rect (at -0.65024 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask)
+      (net 3 /DM) (clearance 0.09906))
+    (pad 3 smd rect (at 0 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask)
+      (net 4 /DP) (clearance 0.09906))
+    (pad 4 smd rect (at 0.65024 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask)
+      (clearance 0.09906))
+    (pad 5 smd rect (at 1.30048 -0.94996 270) (size 0.39878 1.34874) (layers Front F.Paste F.Mask)
+      (net 20 GND) (clearance 0.09906))
+    (pad 6 smd rect (at -3.0988 -0.8509 270) (size 2.10058 1.6002) (layers Front F.Paste F.Mask)
+      (net 20 GND) (clearance 0.29972))
+    (pad 7 smd rect (at 3.10134 -0.8509 270) (size 2.10058 1.6002) (layers Front F.Paste F.Mask)
+      (net 20 GND) (clearance 0.29972))
+    (pad 9 smd rect (at 3.8989 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask)
+      (net 20 GND) (clearance 0.29972))
+    (pad "" smd rect (at 1.19888 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask)
+      (clearance 0.29972))
+    (pad "" smd rect (at -1.19888 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask)
+      (clearance 0.29972))
+    (pad 8 smd rect (at -3.8989 1.69926 270) (size 1.89992 1.89992) (layers Front F.Paste F.Mask)
+      (net 20 GND) (clearance 0.29972))
+  )
+
+  (module ABM11 (layer Back) (tedit 4FCC041D) (tstamp 4FCBF584)
+    (at 69.0245 49.62398 180)
+    (path /4FCBF38C)
+    (fp_text reference X1 (at 19.9009 0.20066 180) (layer B.SilkS)
+      (effects (font (thickness 0.3048)) (justify mirror))
+    )
+    (fp_text value QUARTZCMS4 (at -7.19836 21.30044 180) (layer B.SilkS)
+      (effects (font (thickness 0.3048)) (justify mirror))
+    )
+    (pad 1 smd rect (at -0.65024 -0.50038 180) (size 0.7493 0.65024) (layers Back B.Paste B.Mask)
+      (net 18 /XTALIN) (clearance 0.09906))
+    (pad 2 smd rect (at 0.6477 -0.50038 180) (size 0.7493 0.65024) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+    (pad 3 smd rect (at 0.6477 0.50038 180) (size 0.7493 0.65024) (layers Back B.Paste B.Mask)
+      (net 19 /XTALOUT) (clearance 0.09906))
+    (pad 4 smd rect (at -0.65024 0.50038 180) (size 0.7493 0.65024) (layers Back B.Paste B.Mask)
+      (clearance 0.09906))
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE9096) (tstamp 4FCBF580)
+    (at 80.05064 38.8493 270)
+    (path /4FCAA863)
+    (attr smd)
+    (fp_text reference C16 (at 0 0 270) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 2.2uF (at 0 0.635 270) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V))
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Front) (tedit 4FCE9564) (tstamp 4FCBF574)
+    (at 70.85076 39.34968 180)
+    (path /4FCAAEBC)
+    (attr smd)
+    (fp_text reference D1 (at 0 -0.04826 180) (layer F.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
+    )
+    (fp_text value LED1 (at 0 -0.635 180) (layer F.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
+      (net 22 N-000044))
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Front) (tedit 4FCE909E) (tstamp 4FCBF572)
+    (at 73.025 40.4495 270)
+    (path /4FCAA85F)
+    (attr smd)
+    (fp_text reference C14 (at 0 0 270) (layer F.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
+    )
+    (fp_text value 1uF (at 0 -0.635 270) (layer F.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
+      (net 2 +5V))
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Front) (tedit 4FCE90A1) (tstamp 4FCBF570)
+    (at 77.50048 39.29888 180)
+    (path /4FCAA86B)
+    (attr smd)
+    (fp_text reference C15 (at -0.04826 0.0508 180) (layer F.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
+    )
+    (fp_text value 100nF (at 0 -0.635 180) (layer F.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
+      (net 21 N-000005))
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE909B) (tstamp 4FCBF56E)
+    (at 74.6506 38.45052)
+    (path /4FCA97A0)
+    (attr smd)
+    (fp_text reference C13 (at 0 -0.0508) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 100nF (at 0 0.635) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V))
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE9094) (tstamp 4FCBF56A)
+    (at 69.37502 39.34968)
+    (path /4FCAA3E6)
+    (attr smd)
+    (fp_text reference R2 (at 0 0) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 2K7 (at 0 0.635) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V))
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 14 /RESET#))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE90AA) (tstamp 4FCBF54C)
+    (at 78.75016 38.9001 270)
+    (path /4FCA9724)
+    (attr smd)
+    (fp_text reference C10 (at -0.0508 -0.0508 270) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 100nF (at 0 0.635 270) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V))
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE9060) (tstamp 4FCE905B)
+    (at 78.24978 49.79924 270)
+    (path /4FCA9793)
+    (attr smd)
+    (fp_text reference C7 (at 0 -0.0508 270) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 100nF (at 0 0.635 270) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V))
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE9854) (tstamp 4FCBF566)
+    (at 76.1492 38.69944 90)
+    (path /4FCA9777)
+    (attr smd)
+    (fp_text reference C5 (at 0 0 90) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 100nF (at 0 0.635 90) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V))
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE9076) (tstamp 4FCBF564)
+    (at 75.25004 48.65116)
+    (path /4FCA96AB)
+    (attr smd)
+    (fp_text reference C2 (at -0.00254 -0.00254) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 100nF (at 0 0.635) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V))
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE907D) (tstamp 515DB414)
+    (at 68.3006 46.0502 90)
+    (path /4FCA9799)
+    (attr smd)
+    (fp_text reference C12 (at 0 0 90) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 100nF (at 0 0.635 90) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V))
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE9086) (tstamp 4FCBF560)
+    (at 74.00036 49.2506 90)
+    (path /4FCA9C86)
+    (attr smd)
+    (fp_text reference C11 (at -0.00254 0 90) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 100nF (at 0 0.635 90) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V))
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE90AC) (tstamp 4FCBF55E)
+    (at 74.89952 49.79924 270)
+    (path /4FCA9C79)
+    (attr smd)
+    (fp_text reference C6 (at 0 0.0508 270) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 2.2uF (at 0 0.635 270) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V))
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE908E) (tstamp 4FCBF55C)
+    (at 71.00062 50.14976)
+    (path /4FC8F6F8)
+    (attr smd)
+    (fp_text reference C4 (at 0 0) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 12pF (at 0 0.635) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 18 /XTALIN))
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE90AE) (tstamp 4FCBF55A)
+    (at 68.3006 47.85106 90)
+    (path /4FC8F6F5)
+    (attr smd)
+    (fp_text reference C9 (at 0 0 90) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 12pF (at 0 0.635 90) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 19 /XTALOUT))
+    (pad 2 smd rect (at 0.44958 0 90) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Front) (tedit 4FCE9081) (tstamp 4FCE9F16)
+    (at 69.25056 39.34968)
+    (path /4FCBEB98)
+    (attr smd)
+    (fp_text reference C1 (at 0 0.04826) (layer F.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
+    )
+    (fp_text value 1uF (at 0 -0.635) (layer F.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
+      (net 1 +3.3V))
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE9556) (tstamp 4FCBF556)
+    (at 68.3006 41.12514 270)
+    (path /4FCA95B2)
+    (attr smd)
+    (fp_text reference R1 (at 0 0 270) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 100K (at 0 0.635 270) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V))
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 17 /WAKEUP))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Front) (tedit 4FCE90B3) (tstamp 4FCBF552)
+    (at 72.45096 39.34968)
+    (path /4FCAAEBE)
+    (attr smd)
+    (fp_text reference R4 (at 0 0.0508) (layer F.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
+    )
+    (fp_text value 2K7 (at 0 -0.635) (layer F.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)))
+    )
+    (fp_line (start -0.254 -0.381) (end -0.762 -0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.762 0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.254 0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.254 -0.381) (end 0.762 -0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.762 0.381) (layer F.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.254 0.381) (layer F.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
+      (net 22 N-000044))
+    (pad 2 smd rect (at 0.44958 0) (size 0.39878 0.59944) (layers Front F.Paste F.Mask)
+      (net 5 /PA0))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE905E) (tstamp 4FCBF550)
+    (at 71.89978 49.276 180)
+    (path /4FCA9C5D)
+    (attr smd)
+    (fp_text reference C3 (at -0.00254 0.0508 180) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 2.2uF (at 0 0.635 180) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V))
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE9065) (tstamp 4FCBF54E)
+    (at 72.79894 50.14976 180)
+    (path /4FCA9C7E)
+    (attr smd)
+    (fp_text reference C8 (at 0 -0.0508 180) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 100nF (at 0 0.635 180) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V))
+    (pad 2 smd rect (at 0.44958 0 180) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 20 GND))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module SM0402 (layer Back) (tedit 4FCE90A9) (tstamp 4FCBF56C)
+    (at 79.49946 48.90008 270)
+    (path /4FCA93BD)
+    (attr smd)
+    (fp_text reference R3 (at 0 0.00254 270) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 2K7 (at 0 0.635 270) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 16 /SDA))
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (module CONN-5x1-SMD-127MM (layer Back) (tedit 515DA9CB) (tstamp 5151CF2A)
+    (at 79.2988 43.35018 270)
+    (path /5150B98F)
+    (fp_text reference P2 (at 20.78482 4.1148 270) (layer B.SilkS)
+      (effects (font (thickness 0.3048)) (justify mirror))
+    )
+    (fp_text value CONN_5 (at 20.91182 7.2898 270) (layer B.SilkS)
+      (effects (font (thickness 0.3048)) (justify mirror))
+    )
+    (pad 1 smd rect (at -2.17932 -0.16002 270) (size 0.75946 2.4003) (layers Back B.Paste B.Mask)
+      (net 20 GND) (clearance 0.0508))
+    (pad 2 smd rect (at -0.90424 -0.16002 270) (size 0.75946 2.4003) (layers Back B.Paste B.Mask)
+      (net 13 /PB7) (clearance 0.0508))
+    (pad 3 smd rect (at 0.37084 -0.16002 270) (size 0.75946 2.4003) (layers Back B.Paste B.Mask)
+      (net 11 /PB5) (clearance 0.0508))
+    (pad 4 smd rect (at 1.64592 -0.16002 270) (size 0.75946 2.4003) (layers Back B.Paste B.Mask)
+      (net 9 /PB3) (clearance 0.0508))
+    (pad 5 smd rect (at 2.921 -0.16002 270) (size 0.75946 2.4003) (layers Back B.Paste B.Mask)
+      (net 7 /PB1) (clearance 0.0508))
+  )
+
+  (module CONN-5x1-SMD-127MM (layer Front) (tedit 515DA9C8) (tstamp 4FCD3C8E)
+    (at 79.2988 44.09948 90)
+    (path /5150B984)
+    (fp_text reference P1 (at -19.52752 7.9502 90) (layer F.SilkS)
+      (effects (font (thickness 0.3048)))
+    )
+    (fp_text value CONN_5 (at -19.40052 5.1562 90) (layer F.SilkS)
+      (effects (font (thickness 0.3048)))
+    )
+    (pad 1 smd rect (at -2.17932 0.16002 90) (size 0.75946 2.4003) (layers Front F.Paste F.Mask)
+      (net 6 /PB0) (clearance 0.0508))
+    (pad 2 smd rect (at -0.90424 0.16002 90) (size 0.75946 2.4003) (layers Front F.Paste F.Mask)
+      (net 8 /PB2) (clearance 0.0508))
+    (pad 3 smd rect (at 0.37084 0.16002 90) (size 0.75946 2.4003) (layers Front F.Paste F.Mask)
+      (net 10 /PB4) (clearance 0.0508))
+    (pad 4 smd rect (at 1.64592 0.16002 90) (size 0.75946 2.4003) (layers Front F.Paste F.Mask)
+      (net 12 /PB6) (clearance 0.0508))
+    (pad 5 smd rect (at 2.921 0.16002 90) (size 0.75946 2.4003) (layers Front F.Paste F.Mask)
+      (net 20 GND) (clearance 0.0508))
+  )
+
+  (module SM0402 (layer Back) (tedit 5151D5A0) (tstamp 5151D57D)
+    (at 77.0509 49.2506 270)
+    (path /5151D52F)
+    (attr smd)
+    (fp_text reference R5 (at 0 0 270) (layer B.SilkS)
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_text value 2K7 (at 0 0.635 270) (layer B.SilkS) hide
+      (effects (font (size 0.3048 0.3048) (thickness 0.0762)) (justify mirror))
+    )
+    (fp_line (start -0.254 0.381) (end -0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 0.381) (end -0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start -0.762 -0.381) (end -0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.254 0.381) (end 0.762 0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 0.381) (end 0.762 -0.381) (layer B.SilkS) (width 0.127))
+    (fp_line (start 0.762 -0.381) (end 0.254 -0.381) (layer B.SilkS) (width 0.127))
+    (pad 1 smd rect (at -0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 15 /SCL))
+    (pad 2 smd rect (at 0.44958 0 270) (size 0.39878 0.59944) (layers Back B.Paste B.Mask)
+      (net 1 +3.3V))
+    (model smd\chip_cms.wrl
+      (at (xyz 0 0 0.002))
+      (scale (xyz 0.05 0.05 0.05))
+      (rotate (xyz 0 0 0))
+    )
+  )
+
+  (gr_line (start 79.70012 50.7492) (end 80.70088 50.7492) (angle 90) (layer Edge.Cuts) (width 0.0254))
+  (gr_line (start 79.70012 37.74948) (end 80.70088 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254))
+  (gr_line (start 80.70088 38.75024) (end 80.70088 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254))
+  (gr_line (start 67.70116 38.75024) (end 67.70116 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254))
+  (gr_line (start 79.70012 37.74948) (end 67.70116 37.74948) (angle 90) (layer Edge.Cuts) (width 0.0254))
+  (gr_line (start 80.70088 50.7492) (end 80.70088 38.75024) (angle 90) (layer Edge.Cuts) (width 0.0254))
+  (gr_line (start 67.70116 50.7492) (end 79.70012 50.7492) (angle 90) (layer Edge.Cuts) (width 0.0254))
+  (gr_line (start 67.70116 38.75024) (end 67.70116 50.7492) (angle 90) (layer Edge.Cuts) (width 0.0254))
+
+  (segment (start 74.84618 47.69612) (end 74.84618 48.60544) (width 0.127) (layer Back) (net 1))
+  (segment (start 75.0443 39.19982) (end 74.84618 39.39794) (width 0.127) (layer Back) (net 1))
+  (segment (start 74.35088 49.70018) (end 74.00036 49.70018) (width 0.127) (layer Back) (net 1))
+  (segment (start 68.3006 40.62476) (end 68.3006 39.32428) (width 0.127) (layer Back) (net 1))
+  (segment (start 74.80046 48.65116) (end 74.80046 49.2506) (width 0.127) (layer Back) (net 1))
+  (segment (start 74.80046 49.2506) (end 74.89952 49.34966) (width 0.127) (layer Back) (net 1))
+  (segment (start 73.24852 49.9999) (end 72.52462 49.276) (width 0.127) (layer Back) (net 1))
+  (segment (start 76.42098 40.44696) (end 76.42098 40.31996) (width 0.127) (layer Front) (net 1))
+  (segment (start 78.80096 38.39972) (end 78.75016 38.45052) (width 0.127) (layer Back) (net 1))
+  (segment (start 79.49946 49.34966) (end 78.24978 49.34966) (width 0.127) (layer Back) (net 1))
+  (segment (start 70.84568 47.15256) (end 70.84568 47.69612) (width 0.127) (layer Back) (net 1))
+  (segment (start 75.57262 46.55058) (end 75.94854 46.55058) (width 0.127) (layer Back) (net 1))
+  (segment (start 77.29982 49.70018) (end 77.65034 49.34966) (width 0.127) (layer Back) (net 1))
+  (segment (start 72.52462 49.276) (end 72.34936 49.276) (width 0.127) (layer Back) (net 1))
+  (segment (start 77.0509 49.70018) (end 77.29982 49.70018) (width 0.127) (layer Back) (net 1))
+  (via (at 68.3006 39.32428) (size 0.44958) (layers Front Back) (net 1))
+  (segment (start 76.49972 49.34966) (end 76.85024 49.70018) (width 0.127) (layer Back) (net 1))
+  (segment (start 76.85024 49.70018) (end 77.0509 49.70018) (width 0.127) (layer Back) (net 1))
+  (segment (start 69.8246 46.94936) (end 69.8246 46.64964) (width 0.127) (layer Back) (net 1))
+  (segment (start 75.49896 49.34966) (end 76.49972 49.34966) (width 0.127) (layer Back) (net 1))
+  (segment (start 69.215 40.67556) (end 69.24548 40.64508) (width 0.127) (layer Back) (net 1))
+  (segment (start 76.34478 41.14546) (end 75.90028 40.70096) (width 0.127) (layer Back) (net 1))
+  (segment (start 75.90028 40.70096) (end 75.15098 40.70096) (width 0.127) (layer Back) (net 1))
+  (segment (start 75.15098 40.70096) (end 75.04938 40.59936) (width 0.127) (layer Back) (net 1))
+  (segment (start 75.04938 40.59936) (end 74.89952 40.59936) (width 0.127) (layer Back) (net 1))
+  (segment (start 74.89952 40.59936) (end 74.84618 40.54602) (width 0.127) (layer Back) (net 1))
+  (segment (start 74.84618 40.54602) (end 74.84618 40.04564) (width 0.127) (layer Back) (net 1))
+  (segment (start 77.65034 49.34966) (end 78.24978 49.34966) (width 0.127) (layer Back) (net 1))
+  (segment (start 68.3006 40.67556) (end 69.215 40.67556) (width 0.127) (layer Back) (net 1))
+  (segment (start 80.05064 38.39972) (end 78.80096 38.39972) (width 0.127) (layer Back) (net 1))
+  (segment (start 72.8472 48.95342) (end 72.52462 49.276) (width 0.127) (layer Back) (net 1))
+  (segment (start 74.7014 49.34966) (end 74.35088 49.70018) (width 0.127) (layer Back) (net 1))
+  (segment (start 76.29906 46.20006) (end 76.89342 46.20006) (width 0.127) (layer Back) (net 1))
+  (segment (start 71.00062 47.09922) (end 70.89902 47.09922) (width 0.127) (layer Back) (net 1))
+  (segment (start 73.8759 50.14976) (end 73.24852 50.14976) (width 0.127) (layer Back) (net 1))
+  (segment (start 72.52462 49.276) (end 72.34936 49.276) (width 0.127) (layer Back) (net 1))
+  (segment (start 74.00036 50.0253) (end 73.8759 50.14976) (width 0.127) (layer Back) (net 1))
+  (segment (start 70.89902 47.09922) (end 70.84568 47.15256) (width 0.127) (layer Back) (net 1))
+  (segment (start 69.14896 46.49978) (end 69.24548 46.5963) (width 0.127) (layer Back) (net 1))
+  (segment (start 74.20102 38.75278) (end 74.84618 39.39794) (width 0.127) (layer Back) (net 1))
+  (segment (start 76.89342 46.20006) (end 76.94676 46.14672) (width 0.127) (layer Back) (net 1))
+  (segment (start 74.84618 47.27702) (end 75.57262 46.55058) (width 0.127) (layer Back) (net 1))
+  (segment (start 73.24852 50.14976) (end 73.24852 49.9999) (width 0.127) (layer Back) (net 1))
+  (segment (start 72.74814 47.09922) (end 71.00062 47.09922) (width 0.127) (layer Back) (net 1))
+  (segment (start 72.8472 47.69612) (end 72.8472 48.95342) (width 0.127) (layer Back) (net 1))
+  (segment (start 68.92544 39.34968) (end 68.326 39.34968) (width 0.127) (layer Back) (net 1))
+  (segment (start 68.326 39.34968) (end 68.3006 39.32428) (width 0.127) (layer Back) (net 1))
+  (segment (start 68.326 39.34968) (end 68.80098 39.34968) (width 0.127) (layer Front) (net 1))
+  (segment (start 74.00036 49.70018) (end 74.00036 50.0253) (width 0.127) (layer Back) (net 1))
+  (segment (start 68.3006 46.49978) (end 69.14896 46.49978) (width 0.127) (layer Back) (net 1))
+  (segment (start 72.8472 47.69612) (end 72.8472 47.19828) (width 0.127) (layer Back) (net 1))
+  (segment (start 72.8472 47.19828) (end 72.74814 47.09922) (width 0.127) (layer Back) (net 1))
+  (segment (start 74.84618 39.39794) (end 74.84618 40.04564) (width 0.127) (layer Back) (net 1))
+  (segment (start 68.3006 40.67556) (end 68.3006 40.62476) (width 0.127) (layer Back) (net 1))
+  (segment (start 75.94854 46.55058) (end 76.29906 46.20006) (width 0.127) (layer Back) (net 1))
+  (segment (start 74.84618 47.69612) (end 74.84618 47.27702) (width 0.127) (layer Back) (net 1))
+  (segment (start 74.84618 48.60544) (end 74.80046 48.65116) (width 0.127) (layer Back) (net 1))
+  (segment (start 74.89952 49.34966) (end 75.49896 49.34966) (width 0.127) (layer Back) (net 1))
+  (segment (start 76.94676 41.14546) (end 76.34478 41.14546) (width 0.127) (layer Back) (net 1))
+  (segment (start 69.8246 46.64964) (end 69.77126 46.5963) (width 0.127) (layer Back) (net 1))
+  (segment (start 69.97446 47.09922) (end 69.8246 46.94936) (width 0.127) (layer Back) (net 1))
+  (segment (start 75.30084 39.19982) (end 75.0443 39.19982) (width 0.127) (layer Back) (net 1))
+  (segment (start 77.39888 39.14902) (end 78.09738 38.45052) (width 0.127) (layer Back) (net 1))
+  (segment (start 78.09738 38.45052) (end 78.75016 38.45052) (width 0.127) (layer Back) (net 1))
+  (segment (start 76.1492 39.14902) (end 77.39888 39.14902) (width 0.127) (layer Back) (net 1))
+  (segment (start 76.42098 40.31996) (end 75.30084 39.19982) (width 0.127) (layer Front) (net 1))
+  (via (at 75.30084 39.19982) (size 0.44958) (layers Front Back) (net 1))
+  (segment (start 75.30084 39.19982) (end 75.35164 39.14902) (width 0.127) (layer Back) (net 1))
+  (segment (start 69.77126 46.5963) (end 69.24548 46.5963) (width 0.127) (layer Back) (net 1))
+  (segment (start 74.89952 49.34966) (end 74.7014 49.34966) (width 0.127) (layer Back) (net 1))
+  (segment (start 74.20102 38.45052) (end 74.20102 38.75278) (width 0.127) (layer Back) (net 1))
+  (segment (start 68.3006 39.32428) (end 68.326 39.34968) (width 0.127) (layer Front) (net 1))
+  (segment (start 68.80098 38.69944) (end 69.0499 38.45052) (width 0.127) (layer Front) (net 1))
+  (segment (start 69.0499 38.45052) (end 72.34936 38.45052) (width 0.127) (layer Front) (net 1))
+  (via (at 72.34936 38.45052) (size 0.44958) (layers Front Back) (net 1))
+  (segment (start 72.34936 38.45052) (end 74.20102 38.45052) (width 0.127) (layer Back) (net 1))
+  (segment (start 68.80098 39.34968) (end 68.80098 38.69944) (width 0.127) (layer Front) (net 1))
+  (segment (start 70.89902 47.09922) (end 69.97446 47.09922) (width 0.127) (layer Back) (net 1))
+  (segment (start 75.35164 39.14902) (end 76.1492 39.14902) (width 0.127) (layer Back) (net 1))
+  (segment (start 72.37476 43.44924) (end 72.9742 42.8498) (width 0.127) (layer Front) (net 2))
+  (segment (start 73.47204 42.35196) (end 73.88098 42.35196) (width 0.127) (layer Front) (net 2))
+  (segment (start 71.80072 43.44924) (end 72.37476 43.44924) (width 0.127) (layer Front) (net 2))
+  (segment (start 73.43394 39.99992) (end 73.88098 40.44696) (width 0.127) (layer Front) (net 2))
+  (segment (start 73.025 39.99992) (end 73.43394 39.99992) (width 0.127) (layer Front) (net 2))
+  (segment (start 72.9742 42.8498) (end 73.47204 42.35196) (width 0.127) (layer Front) (net 2))
+  (segment (start 73.88098 40.44696) (end 74.89698 40.44696) (width 0.127) (layer Front) (net 2))
+  (segment (start 74.79792 42.35196) (end 73.88098 42.35196) (width 0.127) (layer Front) (net 2))
+  (segment (start 75.30084 41.84904) (end 74.79792 42.35196) (width 0.127) (layer Front) (net 2))
+  (segment (start 74.89698 40.44696) (end 75.30084 40.85082) (width 0.127) (layer Front) (net 2))
+  (segment (start 75.30084 40.85082) (end 75.30084 41.84904) (width 0.127) (layer Front) (net 2))
+  (segment (start 73.75398 46.8249) (end 73.8505 46.8249) (width 0.127) (layer Front) (net 3))
+  (segment (start 73.1774 45.15104) (end 73.1774 46.24832) (width 0.127) (layer Front) (net 3))
+  (segment (start 73.84542 46.82998) (end 73.84542 47.69612) (width 0.127) (layer Back) (net 3))
+  (segment (start 72.75068 44.72432) (end 73.1774 45.15104) (width 0.127) (layer Front) (net 3))
+  (segment (start 72.75068 44.42714) (end 72.75068 44.72432) (width 0.127) (layer Front) (net 3))
+  (segment (start 73.1774 46.24832) (end 73.75398 46.8249) (width 0.127) (layer Front) (net 3))
+  (segment (start 72.42302 44.09948) (end 72.75068 44.42714) (width 0.127) (layer Front) (net 3))
+  (segment (start 73.8505 46.8249) (end 73.84542 46.82998) (width 0.127) (layer Back) (net 3))
+  (segment (start 71.80072 44.09948) (end 72.42302 44.09948) (width 0.127) (layer Front) (net 3))
+  (via (at 73.8505 46.8249) (size 0.44958) (layers Front Back) (net 3))
+  (segment (start 72.82434 45.22216) (end 72.82434 46.55058) (width 0.127) (layer Front) (net 4))
+  (segment (start 73.14946 46.8757) (end 73.34504 47.07128) (width 0.127) (layer Back) (net 4))
+  (segment (start 71.80072 44.74972) (end 72.34936 44.74972) (width 0.127) (layer Front) (net 4))
+  (segment (start 73.34504 47.07128) (end 73.34504 47.69612) (width 0.127) (layer Back) (net 4))
+  (segment (start 72.72782 45.12564) (end 72.82434 45.22216) (width 0.127) (layer Front) (net 4))
+  (segment (start 72.72528 45.12564) (end 72.72782 45.12564) (width 0.127) (layer Front) (net 4))
+  (segment (start 72.34936 44.74972) (end 72.72528 45.12564) (width 0.127) (layer Front) (net 4))
+  (via (at 73.14946 46.8757) (size 0.44958) (layers Front Back) (net 4))
+  (segment (start 72.82434 46.55058) (end 73.14946 46.8757) (width 0.127) (layer Front) (net 4))
+  (segment (start 73.8505 39.27602) (end 73.87336 39.29888) (width 0.127) (layer Back) (net 5))
+  (segment (start 73.87336 39.29888) (end 74.17562 39.29888) (width 0.127) (layer Back) (net 5))
+  (segment (start 72.90054 39.34968) (end 73.77684 39.34968) (width 0.127) (layer Front) (net 5))
+  (segment (start 74.3458 39.46906) (end 74.3458 40.04564) (width 0.127) (layer Back) (net 5))
+  (segment (start 73.77684 39.34968) (end 73.8505 39.27602) (width 0.127) (layer Front) (net 5))
+  (segment (start 74.17562 39.29888) (end 74.3458 39.46906) (width 0.127) (layer Back) (net 5))
+  (via (at 73.8505 39.27602) (size 0.44958) (layers Front Back) (net 5))
+  (segment (start 76.2508 45.64888) (end 76.88072 46.2788) (width 0.127) (layer Front) (net 6))
+  (segment (start 76.25334 45.64634) (end 76.2508 45.64888) (width 0.127) (layer Back) (net 6))
+  (segment (start 76.94676 45.64634) (end 76.25334 45.64634) (width 0.127) (layer Back) (net 6))
+  (segment (start 76.88072 46.2788) (end 79.45882 46.2788) (width 0.127) (layer Front) (net 6))
+  (via (at 76.2508 45.64888) (size 0.44958) (layers Front Back) (net 6))
+  (segment (start 77.4954 45.14596) (end 77.59954 45.2501) (width 0.127) (layer Back) (net 7))
+  (segment (start 77.59954 45.80128) (end 78.06944 46.27118) (width 0.127) (layer Back) (net 7))
+  (segment (start 77.59954 45.2501) (end 77.59954 45.80128) (width 0.127) (layer Back) (net 7))
+  (segment (start 76.94676 45.14596) (end 77.4954 45.14596) (width 0.127) (layer Back) (net 7))
+  (segment (start 78.06944 46.27118) (end 79.45882 46.27118) (width 0.127) (layer Back) (net 7))
+  (segment (start 76.25588 44.64558) (end 76.2508 44.65066) (width 0.127) (layer Back) (net 8))
+  (segment (start 76.60386 45.00372) (end 79.45882 45.00372) (width 0.127) (layer Front) (net 8))
+  (via (at 76.2508 44.65066) (size 0.44958) (layers Front Back) (net 8))
+  (segment (start 76.2508 44.65066) (end 76.60386 45.00372) (width 0.127) (layer Front) (net 8))
+  (segment (start 76.94676 44.64558) (end 76.25588 44.64558) (width 0.127) (layer Back) (net 8))
+  (segment (start 78.3971 44.9961) (end 79.45882 44.9961) (width 0.127) (layer Back) (net 9))
+  (segment (start 76.94676 44.1452) (end 77.5462 44.1452) (width 0.127) (layer Back) (net 9))
+  (segment (start 77.5462 44.1452) (end 78.3971 44.9961) (width 0.127) (layer Back) (net 9))
+  (segment (start 76.94676 43.64482) (end 76.25588 43.64482) (width 0.127) (layer Back) (net 10))
+  (segment (start 76.32954 43.72864) (end 79.45882 43.72864) (width 0.127) (layer Front) (net 10))
+  (segment (start 76.25588 43.64482) (end 76.2508 43.6499) (width 0.127) (layer Back) (net 10))
+  (via (at 76.2508 43.6499) (size 0.44958) (layers Front Back) (net 10))
+  (segment (start 76.2508 43.6499) (end 76.32954 43.72864) (width 0.127) (layer Front) (net 10))
+  (segment (start 77.64526 43.14444) (end 78.22184 43.72102) (width 0.127) (layer Back) (net 11))
+  (segment (start 78.22184 43.72102) (end 79.45882 43.72102) (width 0.127) (layer Back) (net 11))
+  (segment (start 76.94676 43.14444) (end 77.64526 43.14444) (width 0.127) (layer Back) (net 11))
+  (segment (start 76.94676 42.64406) (end 77.74432 42.64406) (width 0.127) (layer Back) (net 12))
+  (segment (start 77.74432 42.64406) (end 77.8002 42.69994) (width 0.127) (layer Back) (net 12))
+  (segment (start 77.8002 42.69994) (end 78.04658 42.45356) (width 0.127) (layer Front) (net 12))
+  (segment (start 78.04658 42.45356) (end 79.45882 42.45356) (width 0.127) (layer Front) (net 12))
+  (via (at 77.8002 42.69994) (size 0.44958) (layers Front Back) (net 12))
+  (segment (start 77.65288 41.9989) (end 78.39964 41.9989) (width 0.127) (layer Back) (net 13))
+  (segment (start 77.50556 42.14622) (end 77.65288 41.9989) (width 0.127) (layer Back) (net 13))
+  (segment (start 78.39964 41.9989) (end 78.84668 42.44594) (width 0.127) (layer Back) (net 13))
+  (segment (start 76.94676 42.14622) (end 77.50556 42.14622) (width 0.127) (layer Back) (net 13))
+  (segment (start 78.84668 42.44594) (end 79.45882 42.44594) (width 0.127) (layer Back) (net 13))
+  (segment (start 69.8246 39.34968) (end 69.8246 40.02278) (width 0.127) (layer Back) (net 14))
+  (segment (start 69.8246 40.02278) (end 69.84746 40.04564) (width 0.127) (layer Back) (net 14))
+  (segment (start 77.0509 47.24908) (end 76.94676 47.14494) (width 0.127) (layer Back) (net 15))
+  (segment (start 77.0509 48.80102) (end 77.0509 47.24908) (width 0.127) (layer Back) (net 15))
+  (segment (start 77.7494 46.70044) (end 77.00264 46.70044) (width 0.127) (layer Back) (net 16))
+  (segment (start 77.00264 46.70044) (end 76.94676 46.64456) (width 0.127) (layer Back) (net 16))
+  (segment (start 79.49946 48.4505) (end 77.7494 46.70044) (width 0.127) (layer Back) (net 16))
+  (segment (start 68.77812 41.0972) (end 68.3006 41.57472) (width 0.127) (layer Back) (net 17))
+  (segment (start 69.24548 41.0972) (end 68.77812 41.0972) (width 0.127) (layer Back) (net 17))
+  (segment (start 71.84644 47.69612) (end 71.84644 48.22698) (width 0.127) (layer Back) (net 18))
+  (segment (start 70.52564 50.12436) (end 70.55104 50.14976) (width 0.127) (layer Back) (net 18))
+  (segment (start 71.42226 48.65116) (end 70.74916 48.65116) (width 0.127) (layer Back) (net 18))
+  (segment (start 70.55104 48.84928) (end 70.55104 50.14976) (width 0.127) (layer Back) (net 18))
+  (segment (start 69.67474 50.12436) (end 70.52564 50.12436) (width 0.127) (layer Back) (net 18))
+  (segment (start 70.74916 48.65116) (end 70.55104 48.84928) (width 0.127) (layer Back) (net 18))
+  (segment (start 71.84644 48.22698) (end 71.42226 48.65116) (width 0.127) (layer Back) (net 18))
+  (segment (start 68.3006 48.30064) (end 68.3006 49.0474) (width 0.127) (layer Back) (net 19))
+  (segment (start 68.3006 49.0474) (end 68.3768 49.1236) (width 0.127) (layer Back) (net 19))
+  (segment (start 71.22414 48.30064) (end 68.3006 48.30064) (width 0.127) (layer Back) (net 19))
+  (segment (start 71.34606 48.17872) (end 71.22414 48.30064) (width 0.127) (layer Back) (net 19))
+  (segment (start 71.34606 47.69612) (end 71.34606 48.17872) (width 0.127) (layer Back) (net 19))
+  (segment (start 71.95312 41.39946) (end 71.70166 41.65092) (width 0.127) (layer Front) (net 20))
+  (segment (start 73.025 40.89908) (end 72.4535 40.89908) (width 0.127) (layer Front) (net 20))
+  (via (at 73.20026 49.2506) (size 0.44958) (layers Front Back) (net 20))
+  (segment (start 75.35164 50.24882) (end 74.89952 50.24882) (width 0.127) (layer Back) (net 20))
+  (segment (start 75.80122 49.79924) (end 75.35164 50.24882) (width 0.127) (layer Back) (net 20))
+  (via (at 75.80122 49.79924) (size 0.44958) (layers Front Back) (net 20))
+  (segment (start 75.25258 49.2506) (end 75.80122 49.79924) (width 0.127) (layer Front) (net 20))
+  (segment (start 74.00036 48.80102) (end 73.64984 48.80102) (width 0.127) (layer Back) (net 20))
+  (segment (start 73.88098 41.39946) (end 74.44994 41.39946) (width 0.127) (layer Front) (net 20))
+  (segment (start 71.4502 49.276) (end 71.4502 50.14976) (width 0.127) (layer Back) (net 20))
+  (segment (start 73.88098 41.39946) (end 71.95312 41.39946) (width 0.127) (layer Front) (net 20))
+  (segment (start 74.89952 50.24882) (end 78.24978 50.24882) (width 0.127) (layer Back) (net 20))
+  (segment (start 69.1515 48.64862) (end 70.9041 48.64862) (width 0.127) (layer Front) (net 20))
+  (segment (start 70.9041 48.64862) (end 71.70166 47.85106) (width 0.127) (layer Front) (net 20))
+  (segment (start 74.3458 48.45558) (end 74.00036 48.80102) (width 0.127) (layer Back) (net 20))
+  (segment (start 70.3453 40.04564) (end 70.3453 40.72128) (width 0.127) (layer Back) (net 20))
+  (segment (start 76.34732 48.42764) (end 76.1238 48.65116) (width 0.127) (layer Back) (net 20))
+  (segment (start 72.4535 40.89908) (end 71.70166 41.65092) (width 0.127) (layer Front) (net 20))
+  (segment (start 68.6054 47.09668) (end 68.3006 47.40148) (width 0.127) (layer Back) (net 20))
+  (segment (start 74.44994 41.39946) (end 74.80046 41.04894) (width 0.127) (layer Front) (net 20))
+  (via (at 74.80046 41.04894) (size 0.44958) (layers Front Back) (net 20))
+  (segment (start 76.34732 47.07128) (end 76.2 46.92396) (width 0.127) (layer Back) (net 20))
+  (segment (start 80.05064 40.57904) (end 79.45882 41.17086) (width 0.127) (layer Back) (net 20))
+  (via (at 77.39888 40.05072) (size 0.44958) (layers Front Back) (net 20))
+  (segment (start 80.05064 39.29888) (end 80.05064 40.57904) (width 0.127) (layer Back) (net 20))
+  (segment (start 77.39888 40.05072) (end 76.94676 40.50284) (width 0.127) (layer Back) (net 20))
+  (segment (start 77.0509 39.29888) (end 77.0509 39.70274) (width 0.127) (layer Front) (net 20))
+  (segment (start 76.44638 41.64584) (end 76.94676 41.64584) (width 0.127) (layer Back) (net 20))
+  (segment (start 74.85126 41.09974) (end 75.90028 41.09974) (width 0.127) (layer Back) (net 20))
+  (segment (start 75.90028 41.09974) (end 76.44638 41.64584) (width 0.127) (layer Back) (net 20))
+  (segment (start 74.80046 41.04894) (end 74.85126 41.09974) (width 0.127) (layer Back) (net 20))
+  (segment (start 78.07198 41.17848) (end 79.45882 41.17848) (width 0.127) (layer Front) (net 20))
+  (segment (start 76.34732 47.69612) (end 76.34732 47.07128) (width 0.127) (layer Back) (net 20))
+  (segment (start 75.34656 48.2981) (end 75.69962 48.65116) (width 0.127) (layer Back) (net 20))
+  (segment (start 75.30084 38.24986) (end 75.10018 38.45052) (width 0.127) (layer Back) (net 20))
+  (segment (start 77.0509 39.70274) (end 77.39888 40.05072) (width 0.127) (layer Front) (net 20))
+  (segment (start 76.94676 40.50284) (end 76.94676 40.64508) (width 0.127) (layer Back) (net 20))
+  (segment (start 71.80072 47.85106) (end 71.70166 47.85106) (width 0.127) (layer Front) (net 20))
+  (segment (start 76.94676 41.64584) (end 77.60462 41.64584) (width 0.127) (layer Back) (net 20))
+  (via (at 77.8002 41.45026) (size 0.44958) (layers Front Back) (net 20))
+  (segment (start 73.20026 49.2506) (end 71.80072 47.85106) (width 0.127) (layer Front) (net 20))
+  (segment (start 70.3453 40.72128) (end 70.50024 40.87622) (width 0.127) (layer Back) (net 20))
+  (segment (start 71.4502 50.14976) (end 72.34936 50.14976) (width 0.127) (layer Back) (net 20))
+  (segment (start 77.8002 41.45026) (end 78.07198 41.17848) (width 0.127) (layer Front) (net 20))
+  (segment (start 74.47534 46.9011) (end 74.3458 47.03064) (width 0.127) (layer Back) (net 20))
+  (segment (start 74.3458 47.69612) (end 74.3458 48.45558) (width 0.127) (layer Back) (net 20))
+  (segment (start 76.34732 47.69612) (end 76.34732 48.42764) (width 0.127) (layer Back) (net 20))
+  (segment (start 70.44944 39.34968) (end 70.74916 39.6494) (width 0.127) (layer Front) (net 20))
+  (segment (start 70.74916 39.6494) (end 70.74916 40.45204) (width 0.127) (layer Front) (net 20))
+  (segment (start 71.27494 41.65092) (end 71.70166 41.65092) (width 0.127) (layer Front) (net 20))
+  (segment (start 70.50024 40.87622) (end 71.27494 41.65092) (width 0.127) (layer Front) (net 20))
+  (segment (start 74.3458 47.03064) (end 74.3458 47.69612) (width 0.127) (layer Back) (net 20))
+  (via (at 70.50024 40.87622) (size 0.44958) (layers Front Back) (net 20))
+  (segment (start 68.3006 45.60062) (end 69.2404 45.60062) (width 0.127) (layer Back) (net 20))
+  (segment (start 80.05064 39.29888) (end 78.80096 39.29888) (width 0.127) (layer Back) (net 20))
+  (segment (start 70.50024 40.70096) (end 70.50024 40.87622) (width 0.127) (layer Front) (net 20))
+  (segment (start 71.4502 49.276) (end 71.4502 49.1998) (width 0.127) (layer Back) (net 20))
+  (segment (start 69.24548 47.09668) (end 68.6054 47.09668) (width 0.127) (layer Back) (net 20))
+  (segment (start 71.80072 47.752) (end 71.70166 47.85106) (width 0.127) (layer Front) (net 20))
+  (segment (start 71.80072 46.0502) (end 71.80072 47.752) (width 0.127) (layer Front) (net 20))
+  (segment (start 70.40118 39.34968) (end 70.44944 39.34968) (width 0.127) (layer Front) (net 20))
+  (segment (start 76.2 46.92396) (end 76.17714 46.9011) (width 0.127) (layer Front) (net 20))
+  (segment (start 73.64984 48.80102) (end 73.20026 49.2506) (width 0.127) (layer Back) (net 20))
+  (segment (start 77.60462 41.64584) (end 77.8002 41.45026) (width 0.127) (layer Back) (net 20))
+  (segment (start 75.34656 47.69612) (end 75.34656 48.2981) (width 0.127) (layer Back) (net 20))
+  (segment (start 78.80096 39.29888) (end 78.75016 39.34968) (width 0.127) (layer Back) (net 20))
+  (segment (start 71.70166 41.65092) (end 69.9516 41.65092) (width 0.127) (layer Front) (net 20))
+  (segment (start 69.9516 41.65092) (end 69.1515 40.85082) (width 0.127) (layer Front) (net 20))
+  (segment (start 70.40118 39.34968) (end 69.70014 39.34968) (width 0.127) (layer Front) (net 20))
+  (segment (start 70.74916 40.45204) (end 70.50024 40.70096) (width 0.127) (layer Front) (net 20))
+  (segment (start 69.2404 45.60062) (end 69.24548 45.59554) (width 0.127) (layer Back) (net 20))
+  (segment (start 76.1238 48.65116) (end 75.69962 48.65116) (width 0.127) (layer Back) (net 20))
+  (via (at 76.2 46.92396) (size 0.44958) (layers Front Back) (net 20))
+  (segment (start 76.17714 46.9011) (end 74.47534 46.9011) (width 0.127) (layer Front) (net 20))
+  (segment (start 73.20026 49.2506) (end 75.25258 49.2506) (width 0.127) (layer Front) (net 20))
+  (segment (start 71.4502 49.1998) (end 72.34682 48.30318) (width 0.127) (layer Back) (net 20))
+  (via (at 74.47534 46.9011) (size 0.44958) (layers Front Back) (net 20))
+  (segment (start 77.0509 38.45052) (end 77.0509 39.29888) (width 0.127) (layer Front) (net 20))
+  (segment (start 76.1492 38.24986) (end 76.85024 38.24986) (width 0.127) (layer Back) (net 20))
+  (segment (start 72.34682 48.30318) (end 72.34682 47.69612) (width 0.127) (layer Back) (net 20))
+  (segment (start 76.85024 38.24986) (end 77.0001 38.39972) (width 0.127) (layer Back) (net 20))
+  (via (at 77.0001 38.39972) (size 0.44958) (layers Front Back) (net 20))
+  (segment (start 76.1492 38.24986) (end 75.30084 38.24986) (width 0.127) (layer Back) (net 20))
+  (segment (start 77.0001 38.39972) (end 77.0509 38.45052) (width 0.127) (layer Front) (net 20))
+  (segment (start 76.42098 41.82872) (end 77.95006 40.29964) (width 0.127) (layer Front) (net 21))
+  (segment (start 77.95006 40.29964) (end 77.95006 39.29888) (width 0.127) (layer Front) (net 21))
+  (segment (start 76.42098 42.35196) (end 76.42098 41.82872) (width 0.127) (layer Front) (net 21))
+  (segment (start 71.30034 39.34968) (end 72.00138 39.34968) (width 0.127) (layer Front) (net 22))
+
+)
diff --git a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.lib b/hardware/fx2grok-tiny/0.1/fx2grok-tiny.lib
new file mode 100644 (file)
index 0000000..5c46810
--- /dev/null
@@ -0,0 +1,105 @@
+EESchema-LIBRARY Version 2.3  Date: Sun 03 Jun 2012 03:43:12 AM CEST
+#encoding utf-8
+#
+# CY7C68013A-56
+#
+DEF CY7C68013A-56 IC 0 40 Y Y 1 F N
+F0 "IC" 0 -1700 60 H V C CNN
+F1 "CY7C68013A-56" 0 0 60 H V C CNN
+DRAW
+S -1100 1400 1000 -1300 0 1 0 f
+X RDY0/SLRD 1 -1400 1300 300 R 50 50 1 1 I
+X RDY1/SLWR 2 -1400 1200 300 R 50 50 1 1 I
+X AVCC 3 200 1700 300 D 50 50 1 1 W
+X XTALOUT 4 -1400 1000 300 R 50 50 1 1 O
+X XTALIN 5 -1400 900 300 R 50 50 1 1 I
+X AGND 6 200 -1500 300 U 50 50 1 1 W
+X AVCC 7 300 1700 300 D 50 50 1 1 W
+X DPLUS 8 -1400 700 300 R 50 50 1 1 B
+X DMINUS 9 -1400 600 300 R 50 50 1 1 B
+X AGND 10 300 -1500 300 U 50 50 1 1 W
+X PB2/FD2 20 1300 200 300 L 50 50 1 1 B
+X CTL1/FLAGB 30 -1400 -300 300 R 50 50 1 1 O
+X PA7/FLAGD/~SLCS 40 1300 600 300 L 50 50 1 1 B
+X PD5/FD13 50 1300 -1000 300 L 50 50 1 1 B
+X VCC 11 -500 1700 300 D 50 50 1 1 W
+X PB3/FD3 21 1300 100 300 L 50 50 1 1 B
+X CTL2/FLAGC 31 -1400 -400 300 R 50 50 1 1 O
+X GND 41 -200 -1500 300 U 50 50 1 1 W
+X PD6/FD14 51 1300 -1100 300 L 50 50 1 1 B
+X GND 12 -500 -1500 300 U 50 50 1 1 W
+X PB4/FD4 22 1300 0 300 L 50 50 1 1 B
+X VCC 32 -200 1700 300 D 50 50 1 1 W
+X ~RESET 42 -1400 -600 300 R 50 50 1 1 I I
+X PD7/FD15 52 1300 -1200 300 L 50 50 1 1 B
+X IFCLK 13 -1400 400 300 R 50 50 1 1 B C
+X PB5/FD5 23 1300 -100 300 L 50 50 1 1 B
+X PA0/~INT0 33 1300 1300 300 L 50 50 1 1 B
+X VCC 43 -100 1700 300 D 50 50 1 1 W
+X GND 53 -100 -1500 300 U 50 50 1 1 W
+X RESERVED 14 -1400 -1000 300 R 50 50 1 1 I
+X PB6/FD6 24 1300 -200 300 L 50 50 1 1 B
+X PA1/~INT1 34 1300 1200 300 L 50 50 1 1 B
+X WAKEUP 44 -1400 -800 300 R 50 50 1 1 I
+X CLKOUT 54 -1400 300 300 R 50 50 1 1 O C
+X SCL 15 -1400 100 300 R 50 50 1 1 O
+X PB7/FD7 25 1300 -300 300 L 50 50 1 1 B
+X PA2/SLOE 35 1300 1100 300 L 50 50 1 1 B
+X PD0/FD8 45 1300 -500 300 L 50 50 1 1 B
+X VCC 55 0 1700 300 D 50 50 1 1 W
+X SDA 16 -1400 0 300 R 50 50 1 1 B
+X GND 26 -400 -1500 300 U 50 50 1 1 W
+X PA3/WU2 36 1300 1000 300 L 50 50 1 1 B
+X PD1/FD9 46 1300 -600 300 L 50 50 1 1 B
+X GND 56 0 -1500 300 U 50 50 1 1 W
+X VCC 17 -400 1700 300 D 50 50 1 1 W
+X VCC 27 -300 1700 300 D 50 50 1 1 W
+X PA4/FIFOADR0 37 1300 900 300 L 50 50 1 1 B
+X PD2/FD10 47 1300 -700 300 L 50 50 1 1 B
+X PB0/FD0 18 1300 400 300 L 50 50 1 1 B
+X GND 28 -300 -1500 300 U 50 50 1 1 W
+X PA5/FIFOADR1 38 1300 800 300 L 50 50 1 1 B
+X PD3/FD11 48 1300 -800 300 L 50 50 1 1 B
+X PB1/FD1 19 1300 300 300 L 50 50 1 1 B
+X CTL0/FLAGA 29 -1400 -200 300 R 50 50 1 1 O
+X PA6/PKTEND 39 1300 700 300 L 50 50 1 1 B
+X PD4/FD12 49 1300 -900 300 L 50 50 1 1 B
+ENDDRAW
+ENDDEF
+#
+# MIC5319
+#
+DEF MIC5319 U 0 40 Y Y 1 F N
+F0 "U" 200 -300 60 H V C CNN
+F1 "MIC5319" 0 250 60 H V C CNN
+F2 "~" 450 -400 60 H V C CNN
+DRAW
+S -250 -200 300 150 0 1 0 f
+X VIN 1 -550 50 300 R 50 50 1 1 W
+X GND 2 0 -500 300 U 50 50 1 1 W
+X EN 3 -550 -100 300 R 50 50 1 1 I
+X BYP 4 600 -100 300 L 50 50 1 1 P
+X VOUT 5 600 50 300 L 50 50 1 1 w
+ENDDRAW
+ENDDEF
+#
+# MICRO-USB-B-SMD
+#
+DEF MICRO-USB-B-SMD U 0 40 Y Y 1 F N
+F0 "U" 100 -450 60 H V C CNN
+F1 "MICRO-USB-B-SMD" -150 350 60 H V C CNN
+DRAW
+S -500 300 200 -300 0 1 0 f
+X VBUS 1 500 200 300 L 50 50 1 1 w
+X DM 2 500 100 300 L 50 50 1 1 B
+X DP 3 500 0 300 L 50 50 1 1 B
+X ID 4 500 -100 300 L 50 50 1 1 O
+X GND 5 500 -200 300 L 50 50 1 1 W
+X SH1 6 -100 -600 300 U 50 50 1 1 P
+X SH2 7 -200 -600 300 U 50 50 1 1 P
+X SH3 8 -300 -600 300 U 50 50 1 1 P
+X SH4 9 -400 -600 300 U 50 50 1 1 P
+ENDDRAW
+ENDDEF
+#
+#End Library
diff --git a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.mod b/hardware/fx2grok-tiny/0.1/fx2grok-tiny.mod
new file mode 100644 (file)
index 0000000..99d90aa
--- /dev/null
@@ -0,0 +1,1028 @@
+PCBNEW-LibModule-V1  Tue 26 Mar 2013 05:36:51 PM CET
+# encoding utf-8
+$INDEX
+ABM11
+CONN-5x1-SMD-127MM
+CONN-5x2-SMD-127MM
+MICRO-USB-B-SMD
+QFN56
+RPACK-8-SMD-0402
+RPACK-8-SMD-0603
+$EndINDEX
+$MODULE RPACK-8-SMD-0603
+Po 0 0 0 15 4FCBA75F 00000000 ~~
+Li RPACK-8-SMD-0603
+Sc 00000000
+AR
+Op 0 0 0
+.SolderMask 39
+T0 20 2953 600 600 0 120 N V 21 N "RPACK-8-SMD-0603"
+T1 39 -1457 600 600 0 120 N V 21 N "VAL**"
+$PAD
+Sh "1" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1103 -334
+.LocalClearance 59
+$EndPAD
+$PAD
+Sh "2" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -788 -334
+.LocalClearance 59
+$EndPAD
+$PAD
+Sh "3" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -473 -334
+.LocalClearance 59
+$EndPAD
+$PAD
+Sh "4" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -158 -334
+.LocalClearance 59
+$EndPAD
+$PAD
+Sh "5" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 157 -334
+.LocalClearance 59
+$EndPAD
+$PAD
+Sh "6" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 472 -334
+.LocalClearance 59
+$EndPAD
+$PAD
+Sh "7" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 787 -334
+.LocalClearance 59
+$EndPAD
+$PAD
+Sh "8" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1102 -334
+.LocalClearance 59
+$EndPAD
+$PAD
+Sh "9" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1102 335
+.LocalClearance 59
+$EndPAD
+$PAD
+Sh "10" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 787 335
+.LocalClearance 59
+$EndPAD
+$PAD
+Sh "11" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 472 335
+.LocalClearance 59
+$EndPAD
+$PAD
+Sh "12" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 157 335
+.LocalClearance 59
+$EndPAD
+$PAD
+Sh "13" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -158 335
+.LocalClearance 59
+$EndPAD
+$PAD
+Sh "14" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -473 335
+.LocalClearance 59
+$EndPAD
+$PAD
+Sh "15" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -788 335
+.LocalClearance 59
+$EndPAD
+$PAD
+Sh "16" R 177 354 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1103 335
+.LocalClearance 59
+$EndPAD
+$EndMODULE  RPACK-8-SMD-0603
+$MODULE ABM11
+Po 0 0 0 15 4FCBBD24 00000000 ~~
+Li ABM11
+Sc 00000000
+AR
+Op 0 0 0
+T0 0 2648 600 600 0 120 N V 21 N "ABM11"
+T1 0 -1870 600 600 0 120 N V 21 N "VAL**"
+$PAD
+Sh "1" R 295 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -256 197
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "2" R 295 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 255 197
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "3" R 295 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 255 -197
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "4" R 295 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -256 -197
+.LocalClearance 39
+$EndPAD
+$EndMODULE  ABM11
+$MODULE QFN56
+Po 0 0 0 15 4FCBEA5C 00000000 ~~
+Li QFN56
+Sc 00000000
+AR
+Op 0 0 0
+T0 -1142 1043 600 600 0 120 N I 21 N "QFN56"
+T1 -1181 -3642 600 600 0 120 N I 21 N "VAL**"
+$PAD
+Sh "" R 1890 2165 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 0
+.LocalClearance 4
+$EndPAD
+$PAD
+Sh "28" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1281 1514
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "27" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1084 1514
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "26" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -887 1514
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "25" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -690 1514
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "24" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -494 1514
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "23" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -297 1514
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "22" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -100 1514
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "21" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 97 1514
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "20" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 294 1514
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "19" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 491 1514
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "18" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 688 1514
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "17" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 885 1514
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "16" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1081 1514
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "15" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1278 1514
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "29" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1517 1278
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "30" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1517 1081
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "31" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1517 884
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "32" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1517 687
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "33" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1517 490
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "34" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1517 293
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "35" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1517 96
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "36" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1517 -100
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "37" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1517 -297
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "38" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1517 -494
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "39" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1517 -691
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "40" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1517 -888
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "41" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1517 -1085
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "42" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1517 -1281
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "43" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1281 -1518
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "44" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1103 -1518
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "45" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -907 -1518
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "46" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -710 -1518
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "47" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -513 -1518
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "48" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -316 -1518
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "49" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -119 -1518
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "50" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 78 -1518
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "51" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 275 -1518
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "52" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 471 -1518
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "53" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 668 -1518
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "54" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 865 -1518
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "55" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1062 -1518
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "56" R 110 272 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1259 -1518
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "1" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1495 -1282
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "2" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1495 -1085
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "3" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1495 -888
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "4" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1495 -691
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "5" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1495 -494
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "6" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1495 -297
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "7" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1495 -100
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "8" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1495 96
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "9" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1495 293
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "10" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1495 490
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "11" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1495 687
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "12" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1495 884
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "13" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1495 1081
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "14" R 272 110 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1495 1278
+.LocalClearance 39
+$EndPAD
+$EndMODULE  QFN56
+$MODULE MICRO-USB-B-SMD
+Po 0 0 0 15 4FCBF264 00000000 ~~
+Li MICRO-USB-B-SMD
+Sc 00000000
+AR MICRO-USB-B-SMD
+Op 0 0 0
+T0 59 2567 600 600 0 120 N I 21 N "MICRO-USB-B-SMD"
+T1 -12 -2035 600 600 0 120 N I 21 N "VAL**"
+$PAD
+Sh "1" R 157 531 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -512 -374
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "2" R 157 531 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -256 -374
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "3" R 157 531 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 -374
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "4" R 157 531 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 256 -374
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "5" R 157 531 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 512 -374
+.LocalClearance 39
+$EndPAD
+$PAD
+Sh "6" R 827 630 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1220 -335
+.LocalClearance 118
+$EndPAD
+$PAD
+Sh "7" R 827 630 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1221 -335
+.LocalClearance 118
+$EndPAD
+$PAD
+Sh "9" R 748 748 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1535 669
+.LocalClearance 118
+$EndPAD
+$PAD
+Sh "" R 748 748 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 472 669
+.LocalClearance 118
+$EndPAD
+$PAD
+Sh "" R 748 748 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -472 669
+.LocalClearance 118
+$EndPAD
+$PAD
+Sh "8" R 748 748 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1535 669
+.LocalClearance 118
+$EndPAD
+$EndMODULE  MICRO-USB-B-SMD
+$MODULE RPACK-8-SMD-0402
+Po 0 0 0 15 4FCD2FE0 00000000 ~~
+Li RPACK-8-SMD-0402
+Sc 00000000
+AR
+Op 0 0 0
+T0 217 4724 600 600 0 120 N V 21 N "RPACK-8-SMD-0402"
+T1 98 -4882 600 600 0 120 N V 21 N "VAL**"
+$PAD
+Sh "1" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1379 -128
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "2" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1182 -128
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "3" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -985 -128
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "4" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -788 -128
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "5" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -591 -128
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "6" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -395 -128
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "7" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -198 -128
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "8" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1 -128
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "9" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1 325
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "10" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -198 325
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "11" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -395 325
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "12" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -592 325
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "13" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -789 325
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "14" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -985 325
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "15" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1182 325
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "16" R 118 256 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1379 325
+.LocalClearance 20
+$EndPAD
+$EndMODULE  RPACK-8-SMD-0402
+$MODULE CONN-5x2-SMD-127MM
+Po 0 0 0 15 4FCD3AA4 00000000 ~~
+Li CONN-5x2-SMD-127MM
+Sc 00000000
+AR
+Op 0 0 0
+T0 30 3711 600 600 0 120 N V 21 N "CONN-5x2-SMD-127MM"
+T1 -20 -3465 600 600 0 120 N V 21 N "VAL**"
+$PAD
+Sh "1" R 299 945 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1358 1063
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "3" R 299 945 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -856 1063
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "2" R 299 945 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -1358 -472
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "4" R 299 945 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -856 -472
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "5" R 299 945 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -354 1063
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "7" R 299 945 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 148 1063
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "9" R 299 945 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 650 1063
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "6" R 299 945 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -354 -472
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "8" R 299 945 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 148 -472
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "10" R 299 945 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 650 -472
+.LocalClearance 20
+$EndPAD
+$EndMODULE  CONN-5x2-SMD-127MM
+$MODULE CONN-5x1-SMD-127MM
+Po 0 0 0 15 5151CE4F 00000000 ~~
+Li CONN-5x1-SMD-127MM
+Sc 00000000
+AR CONN-5x2-SMD-127MM
+Op 0 0 0
+T0 30 3711 600 600 0 120 N V 21 N "CONN-5x1-SMD-127MM"
+T1 -20 -3465 600 600 0 120 N V 21 N "VAL**"
+$PAD
+Sh "1" R 299 945 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -858 63
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "2" R 299 945 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -356 63
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "3" R 299 945 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 146 63
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "4" R 299 945 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 648 63
+.LocalClearance 20
+$EndPAD
+$PAD
+Sh "5" R 299 945 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 1150 63
+.LocalClearance 20
+$EndPAD
+$EndMODULE  CONN-5x1-SMD-127MM
+$EndLIBRARY
diff --git a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.net b/hardware/fx2grok-tiny/0.1/fx2grok-tiny.net
new file mode 100644 (file)
index 0000000..6560833
--- /dev/null
@@ -0,0 +1,511 @@
+(export (version D)
+  (design
+    (source /home/uwe/code/SIGROK/fx2grok/hardware/fx2grok-tiny/0.1/fx2grok-tiny.sch)
+    (date "Sun 09 Jul 2017 07:32:27 PM CEST")
+    (tool "Eeschema 4.0.5+dfsg1-4")
+    (sheet (number 1) (name /) (tstamps /)
+      (title_block
+        (title fx2grok)
+        (company http://www.sigrok.org/wiki/Fx2grok)
+        (rev 1)
+        (date "26 mar 2013")
+        (source fx2grok-tiny.sch)
+        (comment (number 1) (value "Open Hardware, CC-BY-SA 3.0"))
+        (comment (number 2) (value ""))
+        (comment (number 3) (value ""))
+        (comment (number 4) (value "")))))
+  (components
+    (comp (ref R3)
+      (value 2K7)
+      (footprint SM0402)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5151D52F))
+    (comp (ref C1)
+      (value 1uF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCBEB98))
+    (comp (ref R5)
+      (value 2K7)
+      (footprint SM0402)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCAAEBE))
+    (comp (ref D1)
+      (value LED1)
+      (footprint SM0402)
+      (libsource (lib device) (part LED))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCAAEBC))
+    (comp (ref C15)
+      (value 100nF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCAA86B))
+    (comp (ref C16)
+      (value 2.2uF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCAA863))
+    (comp (ref C14)
+      (value 1uF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCAA85F))
+    (comp (ref U2)
+      (value MIC5319)
+      (footprint SOT23-5)
+      (libsource (lib fx2grok-tiny) (part MIC5319))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCAA78E))
+    (comp (ref U1)
+      (value MICRO-USB-B-SMD)
+      (footprint MICRO-USB-B-SMD)
+      (libsource (lib fx2grok-tiny) (part MICRO-USB-B-SMD))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCAA5E6))
+    (comp (ref R2)
+      (value 2K7)
+      (footprint SM0402)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCAA3E6))
+    (comp (ref C11)
+      (value 100nF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCA9C86))
+    (comp (ref C8)
+      (value 100nF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCA9C7E))
+    (comp (ref C6)
+      (value 2.2uF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCA9C79))
+    (comp (ref C3)
+      (value 2.2uF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCA9C5D))
+    (comp (ref C13)
+      (value 100nF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCA97A0))
+    (comp (ref C12)
+      (value 100nF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCA9799))
+    (comp (ref C7)
+      (value 100nF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCA9793))
+    (comp (ref C5)
+      (value 100nF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCA9777))
+    (comp (ref C10)
+      (value 100nF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCA9724))
+    (comp (ref C2)
+      (value 100nF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCA96AB))
+    (comp (ref R1)
+      (value 100K)
+      (footprint SM0402)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCA95B2))
+    (comp (ref R4)
+      (value 2K7)
+      (footprint SM0402)
+      (libsource (lib device) (part R))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FCA93BD))
+    (comp (ref C4)
+      (value 12pF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FC8F6F8))
+    (comp (ref C9)
+      (value 12pF)
+      (footprint SM0402)
+      (libsource (lib device) (part C))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FC8F6F5))
+    (comp (ref IC1)
+      (value CY7C68013A-56)
+      (footprint QFN56)
+      (libsource (lib fx2grok-tiny) (part CY7C68013A-56))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 4FC8F245))
+    (comp (ref Y1)
+      (value Crystal)
+      (footprint ABM11)
+      (libsource (lib device) (part Crystal))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 5963E355))
+    (comp (ref P1)
+      (value CONN_01X05)
+      (footprint CONN-5x1-SMD-127MM)
+      (libsource (lib conn) (part CONN_01X05))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 596377A2))
+    (comp (ref P2)
+      (value CONN_01X05)
+      (footprint CONN-5x1-SMD-127MM)
+      (libsource (lib conn) (part CONN_01X05))
+      (sheetpath (names /) (tstamps /))
+      (tstamp 59637927)))
+  (libparts
+    (libpart (lib device) (part C)
+      (description "Unpolarized capacitor")
+      (footprints
+        (fp C?)
+        (fp C_????_*)
+        (fp C_????)
+        (fp SMD*_c)
+        (fp Capacitor*))
+      (fields
+        (field (name Reference) C)
+        (field (name Value) C))
+      (pins
+        (pin (num 1) (name ~) (type passive))
+        (pin (num 2) (name ~) (type passive))))
+    (libpart (lib conn) (part CONN_01X05)
+      (description "Connector, single row, 01x05")
+      (footprints
+        (fp Pin_Header_Straight_1X05)
+        (fp Pin_Header_Angled_1X05)
+        (fp Socket_Strip_Straight_1X05)
+        (fp Socket_Strip_Angled_1X05))
+      (fields
+        (field (name Reference) P)
+        (field (name Value) CONN_01X05))
+      (pins
+        (pin (num 1) (name P1) (type passive))
+        (pin (num 2) (name P2) (type passive))
+        (pin (num 3) (name P3) (type passive))
+        (pin (num 4) (name P4) (type passive))
+        (pin (num 5) (name P5) (type passive))))
+    (libpart (lib fx2grok-tiny) (part CY7C68013A-56)
+      (fields
+        (field (name Reference) IC)
+        (field (name Value) CY7C68013A-56))
+      (pins
+        (pin (num 1) (name RDY0/SLRD) (type input))
+        (pin (num 2) (name RDY1/SLWR) (type input))
+        (pin (num 3) (name AVCC) (type power_in))
+        (pin (num 4) (name XTALOUT) (type output))
+        (pin (num 5) (name XTALIN) (type input))
+        (pin (num 6) (name AGND) (type power_in))
+        (pin (num 7) (name AVCC) (type power_in))
+        (pin (num 8) (name DPLUS) (type BiDi))
+        (pin (num 9) (name DMINUS) (type BiDi))
+        (pin (num 10) (name AGND) (type power_in))
+        (pin (num 11) (name VCC) (type power_in))
+        (pin (num 12) (name GND) (type power_in))
+        (pin (num 13) (name IFCLK) (type BiDi))
+        (pin (num 14) (name RESERVED) (type input))
+        (pin (num 15) (name SCL) (type output))
+        (pin (num 16) (name SDA) (type BiDi))
+        (pin (num 17) (name VCC) (type power_in))
+        (pin (num 18) (name PB0/FD0) (type BiDi))
+        (pin (num 19) (name PB1/FD1) (type BiDi))
+        (pin (num 20) (name PB2/FD2) (type BiDi))
+        (pin (num 21) (name PB3/FD3) (type BiDi))
+        (pin (num 22) (name PB4/FD4) (type BiDi))
+        (pin (num 23) (name PB5/FD5) (type BiDi))
+        (pin (num 24) (name PB6/FD6) (type BiDi))
+        (pin (num 25) (name PB7/FD7) (type BiDi))
+        (pin (num 26) (name GND) (type power_in))
+        (pin (num 27) (name VCC) (type power_in))
+        (pin (num 28) (name GND) (type power_in))
+        (pin (num 29) (name CTL0/FLAGA) (type output))
+        (pin (num 30) (name CTL1/FLAGB) (type output))
+        (pin (num 31) (name CTL2/FLAGC) (type output))
+        (pin (num 32) (name VCC) (type power_in))
+        (pin (num 33) (name PA0/~INT0) (type BiDi))
+        (pin (num 34) (name PA1/~INT1) (type BiDi))
+        (pin (num 35) (name PA2/SLOE) (type BiDi))
+        (pin (num 36) (name PA3/WU2) (type BiDi))
+        (pin (num 37) (name PA4/FIFOADR0) (type BiDi))
+        (pin (num 38) (name PA5/FIFOADR1) (type BiDi))
+        (pin (num 39) (name PA6/PKTEND) (type BiDi))
+        (pin (num 40) (name PA7/FLAGD/~SLCS) (type BiDi))
+        (pin (num 41) (name GND) (type power_in))
+        (pin (num 42) (name ~RESET) (type input))
+        (pin (num 43) (name VCC) (type power_in))
+        (pin (num 44) (name WAKEUP) (type input))
+        (pin (num 45) (name PD0/FD8) (type BiDi))
+        (pin (num 46) (name PD1/FD9) (type BiDi))
+        (pin (num 47) (name PD2/FD10) (type BiDi))
+        (pin (num 48) (name PD3/FD11) (type BiDi))
+        (pin (num 49) (name PD4/FD12) (type BiDi))
+        (pin (num 50) (name PD5/FD13) (type BiDi))
+        (pin (num 51) (name PD6/FD14) (type BiDi))
+        (pin (num 52) (name PD7/FD15) (type BiDi))
+        (pin (num 53) (name GND) (type power_in))
+        (pin (num 54) (name CLKOUT) (type output))
+        (pin (num 55) (name VCC) (type power_in))
+        (pin (num 56) (name GND) (type power_in))))
+    (libpart (lib device) (part Crystal)
+      (description "Two pin crystal")
+      (footprints
+        (fp Crystal*))
+      (fields
+        (field (name Reference) Y)
+        (field (name Value) Crystal))
+      (pins
+        (pin (num 1) (name 1) (type passive))
+        (pin (num 2) (name 2) (type passive))))
+    (libpart (lib device) (part LED)
+      (description "LED generic")
+      (footprints
+        (fp LED*))
+      (fields
+        (field (name Reference) D)
+        (field (name Value) LED))
+      (pins
+        (pin (num 1) (name K) (type passive))
+        (pin (num 2) (name A) (type passive))))
+    (libpart (lib fx2grok-tiny) (part MIC5319)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) MIC5319))
+      (pins
+        (pin (num 1) (name VIN) (type power_in))
+        (pin (num 2) (name GND) (type power_in))
+        (pin (num 3) (name EN) (type input))
+        (pin (num 4) (name BYP) (type passive))
+        (pin (num 5) (name VOUT) (type power_out))))
+    (libpart (lib fx2grok-tiny) (part MICRO-USB-B-SMD)
+      (fields
+        (field (name Reference) U)
+        (field (name Value) MICRO-USB-B-SMD))
+      (pins
+        (pin (num 1) (name VBUS) (type power_out))
+        (pin (num 2) (name DM) (type BiDi))
+        (pin (num 3) (name DP) (type BiDi))
+        (pin (num 4) (name ID) (type output))
+        (pin (num 5) (name GND) (type power_in))
+        (pin (num 6) (name SH1) (type passive))
+        (pin (num 7) (name SH2) (type passive))
+        (pin (num 8) (name SH3) (type passive))
+        (pin (num 9) (name SH4) (type passive))))
+    (libpart (lib device) (part R)
+      (description Resistor)
+      (footprints
+        (fp R_*)
+        (fp Resistor_*))
+      (fields
+        (field (name Reference) R)
+        (field (name Value) R))
+      (pins
+        (pin (num 1) (name ~) (type passive))
+        (pin (num 2) (name ~) (type passive)))))
+  (libraries
+    (library (logical device)
+      (uri /usr/share/kicad/library/device.lib))
+    (library (logical conn)
+      (uri /usr/share/kicad/library/conn.lib))
+    (library (logical fx2grok-tiny)
+      (uri fx2grok-tiny.lib)))
+  (nets
+    (net (code 1) (name /SDA)
+      (node (ref R4) (pin 1))
+      (node (ref IC1) (pin 16)))
+    (net (code 2) (name /DM)
+      (node (ref IC1) (pin 9))
+      (node (ref U1) (pin 2)))
+    (net (code 3) (name /XTALOUT)
+      (node (ref IC1) (pin 4))
+      (node (ref C9) (pin 1))
+      (node (ref Y1) (pin 2)))
+    (net (code 4) (name GND)
+      (node (ref IC1) (pin 26))
+      (node (ref P2) (pin 1))
+      (node (ref P1) (pin 5))
+      (node (ref C16) (pin 2))
+      (node (ref C13) (pin 2))
+      (node (ref C3) (pin 2))
+      (node (ref C6) (pin 2))
+      (node (ref C8) (pin 2))
+      (node (ref C11) (pin 2))
+      (node (ref C12) (pin 2))
+      (node (ref D1) (pin 2))
+      (node (ref C15) (pin 2))
+      (node (ref U1) (pin 9))
+      (node (ref U1) (pin 8))
+      (node (ref C14) (pin 2))
+      (node (ref U2) (pin 2))
+      (node (ref U1) (pin 5))
+      (node (ref U1) (pin 6))
+      (node (ref U1) (pin 7))
+      (node (ref C2) (pin 2))
+      (node (ref C10) (pin 2))
+      (node (ref C5) (pin 2))
+      (node (ref C7) (pin 2))
+      (node (ref C1) (pin 2))
+      (node (ref IC1) (pin 56))
+      (node (ref IC1) (pin 28))
+      (node (ref C4) (pin 2))
+      (node (ref C9) (pin 2))
+      (node (ref IC1) (pin 41))
+      (node (ref IC1) (pin 12))
+      (node (ref IC1) (pin 53))
+      (node (ref IC1) (pin 14))
+      (node (ref IC1) (pin 10))
+      (node (ref IC1) (pin 6)))
+    (net (code 5) (name +3V3)
+      (node (ref IC1) (pin 7))
+      (node (ref C11) (pin 1))
+      (node (ref C8) (pin 1))
+      (node (ref C6) (pin 1))
+      (node (ref C3) (pin 1))
+      (node (ref C13) (pin 1))
+      (node (ref C12) (pin 1))
+      (node (ref R3) (pin 2))
+      (node (ref C1) (pin 1))
+      (node (ref C7) (pin 1))
+      (node (ref C5) (pin 1))
+      (node (ref C10) (pin 1))
+      (node (ref IC1) (pin 11))
+      (node (ref C2) (pin 1))
+      (node (ref R1) (pin 1))
+      (node (ref R2) (pin 1))
+      (node (ref R4) (pin 2))
+      (node (ref U2) (pin 5))
+      (node (ref C16) (pin 1))
+      (node (ref IC1) (pin 43))
+      (node (ref IC1) (pin 55))
+      (node (ref IC1) (pin 3))
+      (node (ref IC1) (pin 32))
+      (node (ref IC1) (pin 17))
+      (node (ref IC1) (pin 27)))
+    (net (code 6) (name "Net-(C15-Pad1)")
+      (node (ref U2) (pin 4))
+      (node (ref C15) (pin 1)))
+    (net (code 7) (name /PB2)
+      (node (ref IC1) (pin 20))
+      (node (ref P1) (pin 2)))
+    (net (code 8) (name /PB6)
+      (node (ref IC1) (pin 24))
+      (node (ref P1) (pin 4)))
+    (net (code 9) (name /PB1)
+      (node (ref P2) (pin 5))
+      (node (ref IC1) (pin 19)))
+    (net (code 10) (name /PB5)
+      (node (ref P2) (pin 3))
+      (node (ref IC1) (pin 23)))
+    (net (code 11) (name /PA0)
+      (node (ref R5) (pin 2))
+      (node (ref IC1) (pin 33)))
+    (net (code 12) (name "Net-(D1-Pad1)")
+      (node (ref R5) (pin 1))
+      (node (ref D1) (pin 1)))
+    (net (code 13) (name /SCL)
+      (node (ref R3) (pin 1))
+      (node (ref IC1) (pin 15)))
+    (net (code 14) (name /RESET#)
+      (node (ref IC1) (pin 42))
+      (node (ref R2) (pin 2)))
+    (net (code 15) (name /PB3)
+      (node (ref IC1) (pin 21))
+      (node (ref P2) (pin 4)))
+    (net (code 16) (name /PB7)
+      (node (ref P2) (pin 2))
+      (node (ref IC1) (pin 25)))
+    (net (code 17) (name /PB4)
+      (node (ref P1) (pin 3))
+      (node (ref IC1) (pin 22)))
+    (net (code 18) (name /PB0)
+      (node (ref P1) (pin 1))
+      (node (ref IC1) (pin 18)))
+    (net (code 19) (name +5V)
+      (node (ref U2) (pin 3))
+      (node (ref C14) (pin 1))
+      (node (ref U1) (pin 1))
+      (node (ref U2) (pin 1)))
+    (net (code 20) (name /WAKEUP)
+      (node (ref IC1) (pin 44))
+      (node (ref R1) (pin 2)))
+    (net (code 21) (name /XTALIN)
+      (node (ref C4) (pin 1))
+      (node (ref IC1) (pin 5))
+      (node (ref Y1) (pin 1)))
+    (net (code 22) (name /DP)
+      (node (ref U1) (pin 3))
+      (node (ref IC1) (pin 8)))
+    (net (code 23) (name "Net-(IC1-Pad2)")
+      (node (ref IC1) (pin 2)))
+    (net (code 24) (name "Net-(IC1-Pad1)")
+      (node (ref IC1) (pin 1)))
+    (net (code 25) (name "Net-(IC1-Pad35)")
+      (node (ref IC1) (pin 35)))
+    (net (code 26) (name "Net-(IC1-Pad36)")
+      (node (ref IC1) (pin 36)))
+    (net (code 27) (name "Net-(IC1-Pad37)")
+      (node (ref IC1) (pin 37)))
+    (net (code 28) (name "Net-(IC1-Pad38)")
+      (node (ref IC1) (pin 38)))
+    (net (code 29) (name "Net-(IC1-Pad39)")
+      (node (ref IC1) (pin 39)))
+    (net (code 30) (name "Net-(IC1-Pad40)")
+      (node (ref IC1) (pin 40)))
+    (net (code 31) (name "Net-(IC1-Pad46)")
+      (node (ref IC1) (pin 46)))
+    (net (code 32) (name "Net-(IC1-Pad54)")
+      (node (ref IC1) (pin 54)))
+    (net (code 33) (name "Net-(IC1-Pad13)")
+      (node (ref IC1) (pin 13)))
+    (net (code 34) (name "Net-(IC1-Pad29)")
+      (node (ref IC1) (pin 29)))
+    (net (code 35) (name "Net-(IC1-Pad45)")
+      (node (ref IC1) (pin 45)))
+    (net (code 36) (name "Net-(U1-Pad4)")
+      (node (ref U1) (pin 4)))
+    (net (code 37) (name "Net-(IC1-Pad34)")
+      (node (ref IC1) (pin 34)))
+    (net (code 38) (name "Net-(IC1-Pad31)")
+      (node (ref IC1) (pin 31)))
+    (net (code 39) (name "Net-(IC1-Pad30)")
+      (node (ref IC1) (pin 30)))
+    (net (code 40) (name "Net-(IC1-Pad47)")
+      (node (ref IC1) (pin 47)))
+    (net (code 41) (name "Net-(IC1-Pad49)")
+      (node (ref IC1) (pin 49)))
+    (net (code 42) (name "Net-(IC1-Pad48)")
+      (node (ref IC1) (pin 48)))
+    (net (code 43) (name "Net-(IC1-Pad52)")
+      (node (ref IC1) (pin 52)))
+    (net (code 44) (name "Net-(IC1-Pad51)")
+      (node (ref IC1) (pin 51)))
+    (net (code 45) (name "Net-(IC1-Pad50)")
+      (node (ref IC1) (pin 50)))))
diff --git a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pro b/hardware/fx2grok-tiny/0.1/fx2grok-tiny.pro
new file mode 100644 (file)
index 0000000..9eab57c
--- /dev/null
@@ -0,0 +1,104 @@
+update=Mon 25 Mar 2013 06:07:58 PM CET
+version=1
+last_client=pcbnew
+[cvpcb]
+version=1
+NetIExt=net
+[cvpcb/libraries]
+EquName1=devcms
+[general]
+version=1
+[eeschema]
+version=1
+LibDir=
+NetFmt=1
+HPGLSpd=20
+HPGLDm=15
+HPGLNum=1
+offX_A4=0
+offY_A4=0
+offX_A3=0
+offY_A3=0
+offX_A2=0
+offY_A2=0
+offX_A1=0
+offY_A1=0
+offX_A0=0
+offY_A0=0
+offX_A=0
+offY_A=0
+offX_B=0
+offY_B=0
+offX_C=0
+offY_C=0
+offX_D=0
+offY_D=0
+offX_E=0
+offY_E=0
+RptD_X=0
+RptD_Y=100
+RptLab=1
+LabSize=60
+[eeschema/libraries]
+LibName1=power
+LibName2=device
+LibName3=transistors
+LibName4=conn
+LibName5=linear
+LibName6=regul
+LibName7=74xx
+LibName8=cmos4000
+LibName9=adc-dac
+LibName10=memory
+LibName11=xilinx
+LibName12=special
+LibName13=microcontrollers
+LibName14=dsp
+LibName15=microchip
+LibName16=analog_switches
+LibName17=motorola
+LibName18=texas
+LibName19=intel
+LibName20=audio
+LibName21=interface
+LibName22=digital-audio
+LibName23=philips
+LibName24=display
+LibName25=cypress
+LibName26=siliconi
+LibName27=opto
+LibName28=atmel
+LibName29=contrib
+LibName30=valves
+LibName31=fx2grok-tiny
+[pcbnew]
+version=1
+PadDrlX=320
+PadDimH=600
+PadDimV=600
+BoardThickness=630
+TxtPcbV=800
+TxtPcbH=600
+TxtModV=600
+TxtModH=600
+TxtModW=120
+VEgarde=39
+DrawLar=150
+EdgeLar=150
+TxtLar=120
+MSegLar=150
+LastNetListRead=fx2grok-tiny.net
+[pcbnew/libraries]
+LibDir=../0.1
+LibName1=sockets
+LibName2=connect
+LibName3=discret
+LibName4=pin_array
+LibName5=divers
+LibName6=libcms
+LibName7=display
+LibName8=led
+LibName9=dip_sockets
+LibName10=pga_sockets
+LibName11=valves
+LibName12=fx2grok-tiny
diff --git a/hardware/fx2grok-tiny/0.1/fx2grok-tiny.sch b/hardware/fx2grok-tiny/0.1/fx2grok-tiny.sch
new file mode 100644 (file)
index 0000000..c4adf84
--- /dev/null
@@ -0,0 +1,1193 @@
+EESchema Schematic File Version 2
+LIBS:power
+LIBS:device
+LIBS:transistors
+LIBS:conn
+LIBS:linear
+LIBS:regul
+LIBS:74xx
+LIBS:cmos4000
+LIBS:adc-dac
+LIBS:memory
+LIBS:xilinx
+LIBS:microcontrollers
+LIBS:dsp
+LIBS:microchip
+LIBS:analog_switches
+LIBS:motorola
+LIBS:texas
+LIBS:intel
+LIBS:audio
+LIBS:interface
+LIBS:digital-audio
+LIBS:philips
+LIBS:display
+LIBS:cypress
+LIBS:siliconi
+LIBS:opto
+LIBS:atmel
+LIBS:contrib
+LIBS:valves
+LIBS:fx2grok-tiny
+LIBS:fx2grok-tiny-cache
+EELAYER 25 0
+EELAYER END
+$Descr A4 11693 8268
+encoding utf-8
+Sheet 1 1
+Title "fx2grok"
+Date "26 mar 2013"
+Rev "1"
+Comp "http://www.sigrok.org/wiki/Fx2grok"
+Comment1 "Open Hardware, CC-BY-SA 3.0"
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndDescr
+$Comp
+L R R3
+U 1 1 5151D52F
+P 2700 4000
+F 0 "R3" V 2780 4000 50  0000 C CNN
+F 1 "2K7" V 2700 4000 50  0000 C CNN
+F 2 "SM0402" H 2700 4000 60  0001 C CNN
+F 3 "" H 2700 4000 60  0001 C CNN
+       1    2700 4000
+       0    1    1    0   
+$EndComp
+Text Notes 8050 3400 0    60   ~ 0
+5x2 1.27mm pin header (5 pins per PCB side)
+Text Label 7400 4100 0    60   ~ 0
+PB7
+Text Label 7400 4000 0    60   ~ 0
+PB6
+Text Label 7400 3900 0    60   ~ 0
+PB5
+Text Label 7400 3800 0    60   ~ 0
+PB4
+Text Label 7400 3700 0    60   ~ 0
+PB3
+Text Label 7400 3600 0    60   ~ 0
+PB2
+Text Label 7400 3500 0    60   ~ 0
+PB1
+Text Label 7400 3400 0    60   ~ 0
+PB0
+Text Label 5450 6200 0    60   ~ 0
+PA0
+Text Label 7400 2500 0    60   ~ 0
+PA0
+NoConn ~ 7300 4300
+NoConn ~ 7300 4400
+Text Label 9600 2850 0    60   ~ 0
+PB7
+Text Label 9600 2750 0    60   ~ 0
+PB5
+Text Label 9600 2650 0    60   ~ 0
+PB3
+Text Label 8550 2850 2    60   ~ 0
+PB6
+Text Label 8550 2750 2    60   ~ 0
+PB4
+Text Label 9600 2550 0    60   ~ 0
+PB1
+Text Label 8550 2650 2    60   ~ 0
+PB2
+Text Label 8550 2550 2    60   ~ 0
+PB0
+$Comp
+L C C1
+U 1 1 4FCBEB98
+P 950 5000
+F 0 "C1" H 1000 5100 50  0000 L CNN
+F 1 "1uF" H 1000 4900 50  0000 L CNN
+F 2 "SM0402" H 950 5000 60  0001 C CNN
+F 3 "" H 950 5000 60  0001 C CNN
+       1    950  5000
+       1    0    0    -1  
+$EndComp
+NoConn ~ 4600 3400
+NoConn ~ 4600 3500
+NoConn ~ 4600 4000
+NoConn ~ 4600 4100
+NoConn ~ 4600 4200
+Text Notes 9450 1050 0    60   ~ 0
+Kicad-internal stuff, ignore
+$Comp
+L PWR_FLAG #FLG1
+U 1 1 4FCB8E1B
+P 10100 1300
+F 0 "#FLG1" H 10100 1395 30  0001 C CNN
+F 1 "PWR_FLAG" H 10100 1480 30  0000 C CNN
+F 2 "" H 10100 1300 60  0001 C CNN
+F 3 "" H 10100 1300 60  0001 C CNN
+       1    10100 1300
+       1    0    0    -1  
+$EndComp
+Text Notes 5100 6500 0    60   ~ 0
+LED\n
+$Comp
+L R R5
+U 1 1 4FCAAEBE
+P 5200 6200
+F 0 "R5" V 5280 6200 50  0000 C CNN
+F 1 "2K7" V 5200 6200 50  0000 C CNN
+F 2 "SM0402" H 5200 6200 60  0001 C CNN
+F 3 "" H 5200 6200 60  0001 C CNN
+       1    5200 6200
+       0    -1   -1   0   
+$EndComp
+$Comp
+L LED D1
+U 1 1 4FCAAEBC
+P 4800 6200
+F 0 "D1" H 4800 6300 50  0000 C CNN
+F 1 "LED1" H 4800 6100 50  0000 C CNN
+F 2 "SM0402" H 4800 6200 60  0001 C CNN
+F 3 "" H 4800 6200 60  0001 C CNN
+       1    4800 6200
+       -1   0    0    1   
+$EndComp
+$Comp
+L C C15
+U 1 1 4FCAA86B
+P 10250 5800
+F 0 "C15" H 10300 5900 50  0000 L CNN
+F 1 "100nF" H 10000 5700 50  0000 L CNN
+F 2 "SM0402" H 10250 5800 60  0001 C CNN
+F 3 "" H 10250 5800 60  0001 C CNN
+       1    10250 5800
+       1    0    0    -1  
+$EndComp
+$Comp
+L C C16
+U 1 1 4FCAA863
+P 10550 5800
+F 0 "C16" H 10600 5900 50  0000 L CNN
+F 1 "2.2uF" H 10600 5700 50  0000 L CNN
+F 2 "SM0402" H 10550 5800 60  0001 C CNN
+F 3 "" H 10550 5800 60  0001 C CNN
+       1    10550 5800
+       1    0    0    -1  
+$EndComp
+$Comp
+L C C14
+U 1 1 4FCAA85F
+P 8800 5800
+F 0 "C14" H 8850 5900 50  0000 L CNN
+F 1 "1uF" H 8850 5700 50  0000 L CNN
+F 2 "SM0402" H 8800 5800 60  0001 C CNN
+F 3 "" H 8800 5800 60  0001 C CNN
+       1    8800 5800
+       1    0    0    -1  
+$EndComp
+$Comp
+L MIC5319 U2
+U 1 1 4FCAA78E
+P 9550 5450
+F 0 "U2" H 9750 5150 60  0000 C CNN
+F 1 "MIC5319" H 9550 5700 60  0000 C CNN
+F 2 "SOT23-5" H 9200 4800 60  0001 C CNN
+F 3 "" H 9550 5450 60  0001 C CNN
+       1    9550 5450
+       1    0    0    -1  
+$EndComp
+$Comp
+L MICRO-USB-B-SMD U1
+U 1 1 4FCAA5E6
+P 1550 1100
+F 0 "U1" H 1650 650 60  0000 C CNN
+F 1 "MICRO-USB-B-SMD" H 1400 1450 60  0000 C CNN
+F 2 "MICRO-USB-B-SMD" H 1550 1100 60  0001 C CNN
+F 3 "" H 1550 1100 60  0001 C CNN
+       1    1550 1100
+       1    0    0    -1  
+$EndComp
+Text Label 4500 4400 2    60   ~ 0
+RESET#
+Text Label 1450 4750 0    60   ~ 0
+RESET#
+$Comp
+L R R2
+U 1 1 4FCAA3E6
+P 1200 4750
+F 0 "R2" V 1280 4750 50  0000 C CNN
+F 1 "2K7" V 1200 4750 50  0000 C CNN
+F 2 "SM0402" H 1200 4750 60  0001 C CNN
+F 3 "" H 1200 4750 60  0001 C CNN
+       1    1200 4750
+       0    -1   -1   0   
+$EndComp
+Text Notes 2250 5050 2    60   ~ 0
+RESET# is tied to VCC\nvia 2K7 and 1uF
+Text Label 1450 4000 0    60   ~ 0
+WAKEUP
+Text Notes 1900 4250 2    60   ~ 0
+WAKEUP is tied to VCC
+Text Label 4500 4600 2    60   ~ 0
+WAKEUP
+Text Label 1350 2500 2    60   ~ 0
+XTALIN
+Text Label 2150 2500 0    60   ~ 0
+XTALOUT
+Text Label 4500 2900 2    60   ~ 0
+XTALIN
+Text Label 4500 2800 2    60   ~ 0
+XTALOUT
+Text Notes 2050 3550 2    60   ~ 0
+24MHz crystal
+Text Notes 10100 6400 2    60   ~ 0
+3.3V voltage regulator
+Text Notes 1500 2050 2    60   ~ 0
+USB
+Text Notes 4800 5200 2    60   ~ 0
+RESERVED must be tied to GND
+NoConn ~ 4600 2600
+NoConn ~ 4600 2500
+Text Notes 700  7650 0    60   ~ 0
+2.2uF/100nF bypass capacitors for all AVCC pins\n
+$Comp
+L C C11
+U 1 1 4FCA9C86
+P 2200 7050
+F 0 "C11" H 2250 7150 50  0000 L CNN
+F 1 "100nF" V 2300 6750 50  0000 L CNN
+F 2 "SM0402" H 2200 7050 60  0001 C CNN
+F 3 "" H 2200 7050 60  0001 C CNN
+       1    2200 7050
+       1    0    0    -1  
+$EndComp
+$Comp
+L C C8
+U 1 1 4FCA9C7E
+P 1900 7050
+F 0 "C8" H 1950 7150 50  0000 L CNN
+F 1 "100nF" V 2000 6750 50  0000 L CNN
+F 2 "SM0402" H 1900 7050 60  0001 C CNN
+F 3 "" H 1900 7050 60  0001 C CNN
+       1    1900 7050
+       1    0    0    -1  
+$EndComp
+$Comp
+L C C6
+U 1 1 4FCA9C79
+P 1600 7050
+F 0 "C6" H 1650 7150 50  0000 L CNN
+F 1 "2.2uF" V 1700 6750 50  0000 L CNN
+F 2 "SM0402" H 1600 7050 60  0001 C CNN
+F 3 "" H 1600 7050 60  0001 C CNN
+       1    1600 7050
+       1    0    0    -1  
+$EndComp
+$Comp
+L C C3
+U 1 1 4FCA9C5D
+P 1300 7050
+F 0 "C3" H 1350 7150 50  0000 L CNN
+F 1 "2.2uF" V 1400 6750 50  0000 L CNN
+F 2 "SM0402" H 1300 7050 60  0001 C CNN
+F 3 "" H 1300 7050 60  0001 C CNN
+       1    1300 7050
+       1    0    0    -1  
+$EndComp
+Text Notes 1100 6450 0    60   ~ 0
+100nF bypass capacitors for all VCC pins\n
+$Comp
+L C C13
+U 1 1 4FCA97A0
+P 2800 5850
+F 0 "C13" H 2850 5950 50  0000 L CNN
+F 1 "100nF" V 2900 5550 50  0000 L CNN
+F 2 "SM0402" H 2800 5850 60  0001 C CNN
+F 3 "" H 2800 5850 60  0001 C CNN
+       1    2800 5850
+       1    0    0    -1  
+$EndComp
+$Comp
+L C C12
+U 1 1 4FCA9799
+P 2500 5850
+F 0 "C12" H 2550 5950 50  0000 L CNN
+F 1 "100nF" V 2600 5550 50  0000 L CNN
+F 2 "SM0402" H 2500 5850 60  0001 C CNN
+F 3 "" H 2500 5850 60  0001 C CNN
+       1    2500 5850
+       1    0    0    -1  
+$EndComp
+$Comp
+L C C7
+U 1 1 4FCA9793
+P 1900 5850
+F 0 "C7" H 1950 5950 50  0000 L CNN
+F 1 "100nF" V 2000 5550 50  0000 L CNN
+F 2 "SM0402" H 1900 5850 60  0001 C CNN
+F 3 "" H 1900 5850 60  0001 C CNN
+       1    1900 5850
+       1    0    0    -1  
+$EndComp
+$Comp
+L C C5
+U 1 1 4FCA9777
+P 1600 5850
+F 0 "C5" H 1650 5950 50  0000 L CNN
+F 1 "100nF" V 1700 5550 50  0000 L CNN
+F 2 "SM0402" H 1600 5850 60  0001 C CNN
+F 3 "" H 1600 5850 60  0001 C CNN
+       1    1600 5850
+       1    0    0    -1  
+$EndComp
+$Comp
+L C C10
+U 1 1 4FCA9724
+P 2200 5850
+F 0 "C10" H 2250 5950 50  0000 L CNN
+F 1 "100nF" V 2300 5550 50  0000 L CNN
+F 2 "SM0402" H 2200 5850 60  0001 C CNN
+F 3 "" H 2200 5850 60  0001 C CNN
+       1    2200 5850
+       1    0    0    -1  
+$EndComp
+$Comp
+L C C2
+U 1 1 4FCA96AB
+P 1300 5850
+F 0 "C2" H 1350 5950 50  0000 L CNN
+F 1 "100nF" V 1400 5550 50  0000 L CNN
+F 2 "SM0402" H 1300 5850 60  0001 C CNN
+F 3 "" H 1300 5850 60  0001 C CNN
+       1    1300 5850
+       1    0    0    -1  
+$EndComp
+$Comp
+L R R1
+U 1 1 4FCA95B2
+P 1200 4000
+F 0 "R1" V 1280 4000 50  0000 C CNN
+F 1 "100K" V 1200 4000 50  0000 C CNN
+F 2 "SM0402" H 1200 4000 60  0001 C CNN
+F 3 "" H 1200 4000 60  0001 C CNN
+       1    1200 4000
+       0    -1   -1   0   
+$EndComp
+Text Notes 2450 4700 0    60   ~ 0
+I2C pullups
+Text Label 2950 4400 0    60   ~ 0
+SDA
+Text Label 2950 4000 0    60   ~ 0
+SCL
+Text Label 4500 3800 2    60   ~ 0
+SDA
+Text Label 4500 3700 2    60   ~ 0
+SCL
+$Comp
+L R R4
+U 1 1 4FCA93BD
+P 2700 4400
+F 0 "R4" V 2780 4400 50  0000 C CNN
+F 1 "2K7" V 2700 4400 50  0000 C CNN
+F 2 "SM0402" H 2700 4400 60  0001 C CNN
+F 3 "" H 2700 4400 60  0001 C CNN
+       1    2700 4400
+       0    1    1    0   
+$EndComp
+Text Label 4500 3200 2    60   ~ 0
+DM
+Text Label 4500 3100 2    60   ~ 0
+DP
+NoConn ~ 7300 3200
+NoConn ~ 7300 3100
+NoConn ~ 7300 3000
+NoConn ~ 7300 2900
+NoConn ~ 7300 2800
+NoConn ~ 7300 2700
+NoConn ~ 7300 2600
+NoConn ~ 7300 4500
+NoConn ~ 7300 4600
+NoConn ~ 7300 4700
+NoConn ~ 7300 4800
+NoConn ~ 7300 4900
+NoConn ~ 7300 5000
+$Comp
+L C C4
+U 1 1 4FC8F6F8
+P 1350 2900
+F 0 "C4" H 1400 3000 50  0000 L CNN
+F 1 "12pF" H 1400 2800 50  0000 L CNN
+F 2 "SM0402" H 1350 2900 60  0001 C CNN
+F 3 "" H 1350 2900 60  0001 C CNN
+       1    1350 2900
+       1    0    0    -1  
+$EndComp
+$Comp
+L C C9
+U 1 1 4FC8F6F5
+P 2150 2900
+F 0 "C9" H 2200 3000 50  0000 L CNN
+F 1 "12pF" H 2200 2800 50  0000 L CNN
+F 2 "SM0402" H 2150 2900 60  0001 C CNN
+F 3 "" H 2150 2900 60  0001 C CNN
+       1    2150 2900
+       1    0    0    -1  
+$EndComp
+Text Label 2250 900  0    60   ~ 0
+VBUS
+Text Label 2250 1000 0    60   ~ 0
+DM
+Text Label 2250 1100 0    60   ~ 0
+DP
+NoConn ~ 2050 1200
+Text Label 1150 1800 2    60   ~ 0
+SHIELD
+Text Label 8700 5400 2    60   ~ 0
+VBUS
+$Comp
+L CY7C68013A-56 IC1
+U 1 1 4FC8F245
+P 6000 3800
+F 0 "IC1" H 6650 2300 60  0000 C CNN
+F 1 "CY7C68013A-56" H 6000 3800 60  0000 C CNN
+F 2 "QFN56" H 6000 3800 60  0001 C CNN
+F 3 "" H 6000 3800 60  0001 C CNN
+       1    6000 3800
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR6
+U 1 1 596302F6
+P 1300 6800
+F 0 "#PWR6" H 1300 6650 50  0001 C CNN
+F 1 "+3.3V" H 1300 6940 50  0000 C CNN
+F 2 "" H 1300 6800 50  0000 C CNN
+F 3 "" H 1300 6800 50  0000 C CNN
+       1    1300 6800
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR11
+U 1 1 596304F6
+P 1600 6800
+F 0 "#PWR11" H 1600 6650 50  0001 C CNN
+F 1 "+3.3V" H 1600 6940 50  0000 C CNN
+F 2 "" H 1600 6800 50  0000 C CNN
+F 3 "" H 1600 6800 50  0000 C CNN
+       1    1600 6800
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR15
+U 1 1 59630555
+P 1900 6800
+F 0 "#PWR15" H 1900 6650 50  0001 C CNN
+F 1 "+3.3V" H 1900 6940 50  0000 C CNN
+F 2 "" H 1900 6800 50  0000 C CNN
+F 3 "" H 1900 6800 50  0000 C CNN
+       1    1900 6800
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR22
+U 1 1 596305B4
+P 2200 6800
+F 0 "#PWR22" H 2200 6650 50  0001 C CNN
+F 1 "+3.3V" H 2200 6940 50  0000 C CNN
+F 2 "" H 2200 6800 50  0000 C CNN
+F 3 "" H 2200 6800 50  0000 C CNN
+       1    2200 6800
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR7
+U 1 1 59630673
+P 1300 7300
+F 0 "#PWR7" H 1300 7050 50  0001 C CNN
+F 1 "GND" H 1300 7150 50  0000 C CNN
+F 2 "" H 1300 7300 50  0000 C CNN
+F 3 "" H 1300 7300 50  0000 C CNN
+       1    1300 7300
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR12
+U 1 1 596307A3
+P 1600 7300
+F 0 "#PWR12" H 1600 7050 50  0001 C CNN
+F 1 "GND" H 1600 7150 50  0000 C CNN
+F 2 "" H 1600 7300 50  0000 C CNN
+F 3 "" H 1600 7300 50  0000 C CNN
+       1    1600 7300
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR16
+U 1 1 59630802
+P 1900 7300
+F 0 "#PWR16" H 1900 7050 50  0001 C CNN
+F 1 "GND" H 1900 7150 50  0000 C CNN
+F 2 "" H 1900 7300 50  0000 C CNN
+F 3 "" H 1900 7300 50  0000 C CNN
+       1    1900 7300
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR23
+U 1 1 59630899
+P 2200 7300
+F 0 "#PWR23" H 2200 7050 50  0001 C CNN
+F 1 "GND" H 2200 7150 50  0000 C CNN
+F 2 "" H 2200 7300 50  0000 C CNN
+F 3 "" H 2200 7300 50  0000 C CNN
+       1    2200 7300
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR5
+U 1 1 5963105D
+P 1300 6100
+F 0 "#PWR5" H 1300 5850 50  0001 C CNN
+F 1 "GND" H 1300 5950 50  0000 C CNN
+F 2 "" H 1300 6100 50  0000 C CNN
+F 3 "" H 1300 6100 50  0000 C CNN
+       1    1300 6100
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR10
+U 1 1 59631112
+P 1600 6100
+F 0 "#PWR10" H 1600 5850 50  0001 C CNN
+F 1 "GND" H 1600 5950 50  0000 C CNN
+F 2 "" H 1600 6100 50  0000 C CNN
+F 3 "" H 1600 6100 50  0000 C CNN
+       1    1600 6100
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR14
+U 1 1 5963118D
+P 1900 6100
+F 0 "#PWR14" H 1900 5850 50  0001 C CNN
+F 1 "GND" H 1900 5950 50  0000 C CNN
+F 2 "" H 1900 6100 50  0000 C CNN
+F 3 "" H 1900 6100 50  0000 C CNN
+       1    1900 6100
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR21
+U 1 1 596311EC
+P 2200 6100
+F 0 "#PWR21" H 2200 5850 50  0001 C CNN
+F 1 "GND" H 2200 5950 50  0000 C CNN
+F 2 "" H 2200 6100 50  0000 C CNN
+F 3 "" H 2200 6100 50  0000 C CNN
+       1    2200 6100
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR27
+U 1 1 5963124B
+P 2500 6100
+F 0 "#PWR27" H 2500 5850 50  0001 C CNN
+F 1 "GND" H 2500 5950 50  0000 C CNN
+F 2 "" H 2500 6100 50  0000 C CNN
+F 3 "" H 2500 6100 50  0000 C CNN
+       1    2500 6100
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR29
+U 1 1 596312AA
+P 2800 6100
+F 0 "#PWR29" H 2800 5850 50  0001 C CNN
+F 1 "GND" H 2800 5950 50  0000 C CNN
+F 2 "" H 2800 6100 50  0000 C CNN
+F 3 "" H 2800 6100 50  0000 C CNN
+       1    2800 6100
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR4
+U 1 1 59631505
+P 1300 5600
+F 0 "#PWR4" H 1300 5450 50  0001 C CNN
+F 1 "+3.3V" H 1300 5740 50  0000 C CNN
+F 2 "" H 1300 5600 50  0000 C CNN
+F 3 "" H 1300 5600 50  0000 C CNN
+       1    1300 5600
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR9
+U 1 1 59631670
+P 1600 5600
+F 0 "#PWR9" H 1600 5450 50  0001 C CNN
+F 1 "+3.3V" H 1600 5740 50  0000 C CNN
+F 2 "" H 1600 5600 50  0000 C CNN
+F 3 "" H 1600 5600 50  0000 C CNN
+       1    1600 5600
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR13
+U 1 1 596316CF
+P 1900 5600
+F 0 "#PWR13" H 1900 5450 50  0001 C CNN
+F 1 "+3.3V" H 1900 5740 50  0000 C CNN
+F 2 "" H 1900 5600 50  0000 C CNN
+F 3 "" H 1900 5600 50  0000 C CNN
+       1    1900 5600
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR20
+U 1 1 5963172E
+P 2200 5600
+F 0 "#PWR20" H 2200 5450 50  0001 C CNN
+F 1 "+3.3V" H 2200 5740 50  0000 C CNN
+F 2 "" H 2200 5600 50  0000 C CNN
+F 3 "" H 2200 5600 50  0000 C CNN
+       1    2200 5600
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR26
+U 1 1 5963178D
+P 2500 5600
+F 0 "#PWR26" H 2500 5450 50  0001 C CNN
+F 1 "+3.3V" H 2500 5740 50  0000 C CNN
+F 2 "" H 2500 5600 50  0000 C CNN
+F 3 "" H 2500 5600 50  0000 C CNN
+       1    2500 5600
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR28
+U 1 1 596317EC
+P 2800 5600
+F 0 "#PWR28" H 2800 5450 50  0001 C CNN
+F 1 "+3.3V" H 2800 5740 50  0000 C CNN
+F 2 "" H 2800 5600 50  0000 C CNN
+F 3 "" H 2800 5600 50  0000 C CNN
+       1    2800 5600
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR3
+U 1 1 59631E88
+P 950 5250
+F 0 "#PWR3" H 950 5000 50  0001 C CNN
+F 1 "GND" H 950 5100 50  0000 C CNN
+F 2 "" H 950 5250 50  0000 C CNN
+F 3 "" H 950 5250 50  0000 C CNN
+       1    950  5250
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR2
+U 1 1 59631F04
+P 950 4650
+F 0 "#PWR2" H 950 4500 50  0001 C CNN
+F 1 "+3.3V" H 950 4790 50  0000 C CNN
+F 2 "" H 950 4650 50  0000 C CNN
+F 3 "" H 950 4650 50  0000 C CNN
+       1    950  4650
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR1
+U 1 1 5963220C
+P 950 3900
+F 0 "#PWR1" H 950 3750 50  0001 C CNN
+F 1 "+3.3V" H 950 4040 50  0000 C CNN
+F 2 "" H 950 3900 50  0000 C CNN
+F 3 "" H 950 3900 50  0000 C CNN
+       1    950  3900
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR24
+U 1 1 596322DD
+P 2450 3900
+F 0 "#PWR24" H 2450 3750 50  0001 C CNN
+F 1 "+3.3V" H 2450 4040 50  0000 C CNN
+F 2 "" H 2450 3900 50  0000 C CNN
+F 3 "" H 2450 3900 50  0000 C CNN
+       1    2450 3900
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR25
+U 1 1 5963233C
+P 2450 4300
+F 0 "#PWR25" H 2450 4150 50  0001 C CNN
+F 1 "+3.3V" H 2450 4440 50  0000 C CNN
+F 2 "" H 2450 4300 50  0000 C CNN
+F 3 "" H 2450 4300 50  0000 C CNN
+       1    2450 4300
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR30
+U 1 1 596323D4
+P 4500 4900
+F 0 "#PWR30" H 4500 4650 50  0001 C CNN
+F 1 "GND" H 4500 4750 50  0000 C CNN
+F 2 "" H 4500 4900 50  0000 C CNN
+F 3 "" H 4500 4900 50  0000 C CNN
+       1    4500 4900
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR19
+U 1 1 59633244
+P 2150 3150
+F 0 "#PWR19" H 2150 2900 50  0001 C CNN
+F 1 "GND" H 2150 3000 50  0000 C CNN
+F 2 "" H 2150 3150 50  0000 C CNN
+F 3 "" H 2150 3150 50  0000 C CNN
+       1    2150 3150
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR8
+U 1 1 596332A3
+P 1350 3150
+F 0 "#PWR8" H 1350 2900 50  0001 C CNN
+F 1 "GND" H 1350 3000 50  0000 C CNN
+F 2 "" H 1350 3150 50  0000 C CNN
+F 3 "" H 1350 3150 50  0000 C CNN
+       1    1350 3150
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR18
+U 1 1 59633E86
+P 2150 1900
+F 0 "#PWR18" H 2150 1650 50  0001 C CNN
+F 1 "GND" H 2150 1750 50  0000 C CNN
+F 2 "" H 2150 1900 50  0000 C CNN
+F 3 "" H 2150 1900 50  0000 C CNN
+       1    2150 1900
+       1    0    0    -1  
+$EndComp
+$Comp
+L +5V #PWR17
+U 1 1 5963439C
+P 2150 800
+F 0 "#PWR17" H 2150 650 50  0001 C CNN
+F 1 "+5V" H 2150 940 50  0000 C CNN
+F 2 "" H 2150 800 50  0000 C CNN
+F 3 "" H 2150 800 50  0000 C CNN
+       1    2150 800 
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR33
+U 1 1 59635EE4
+P 5900 5500
+F 0 "#PWR33" H 5900 5250 50  0001 C CNN
+F 1 "GND" H 5900 5350 50  0000 C CNN
+F 2 "" H 5900 5500 50  0000 C CNN
+F 3 "" H 5900 5500 50  0000 C CNN
+       1    5900 5500
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR31
+U 1 1 59636270
+P 4550 6300
+F 0 "#PWR31" H 4550 6050 50  0001 C CNN
+F 1 "GND" H 4550 6150 50  0000 C CNN
+F 2 "" H 4550 6300 50  0000 C CNN
+F 3 "" H 4550 6300 50  0000 C CNN
+       1    4550 6300
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR40
+U 1 1 5963B0F1
+P 10550 5300
+F 0 "#PWR40" H 10550 5150 50  0001 C CNN
+F 1 "+3.3V" H 10550 5440 50  0000 C CNN
+F 2 "" H 10550 5300 50  0000 C CNN
+F 3 "" H 10550 5300 50  0000 C CNN
+       1    10550 5300
+       1    0    0    -1  
+$EndComp
+$Comp
+L Crystal Y1
+U 1 1 5963E355
+P 1750 2650
+F 0 "Y1" H 1750 2800 50  0000 C CNN
+F 1 "Crystal" H 1750 2500 50  0000 C CNN
+F 2 "ABM11" H 1750 2650 50  0001 C CNN
+F 3 "" H 1750 2650 50  0000 C CNN
+       1    1750 2650
+       1    0    0    -1  
+$EndComp
+Wire Wire Line
+       9600 3050 9600 2950
+Wire Wire Line
+       7300 2500 7400 2500
+Wire Wire Line
+       9600 2950 9500 2950
+Wire Wire Line
+       9500 2750 9600 2750
+Wire Wire Line
+       9500 2550 9600 2550
+Wire Wire Line
+       8650 2850 8550 2850
+Wire Wire Line
+       8650 2650 8550 2650
+Wire Wire Line
+       10100 1300 10100 1400
+Connection ~ 1350 1800
+Wire Wire Line
+       1350 1700 1350 1800
+Wire Wire Line
+       10150 5400 10550 5400
+Wire Wire Line
+       10250 5550 10150 5550
+Wire Wire Line
+       4600 4400 4500 4400
+Wire Wire Line
+       1350 2500 1350 2750
+Wire Wire Line
+       4600 2800 4500 2800
+Wire Wire Line
+       2150 2500 2150 2750
+Wire Wire Line
+       4500 3200 4600 3200
+Wire Wire Line
+       7300 4100 7400 4100
+Wire Wire Line
+       7300 3900 7400 3900
+Wire Wire Line
+       7300 3700 7400 3700
+Wire Wire Line
+       7300 3500 7400 3500
+Wire Wire Line
+       4600 3800 4500 3800
+Wire Wire Line
+       5900 5500 5900 5300
+Connection ~ 5700 5400
+Wire Wire Line
+       5700 5300 5700 5400
+Connection ~ 5900 5400
+Connection ~ 6200 5400
+Wire Wire Line
+       6200 5300 6200 5400
+Wire Wire Line
+       5900 1900 5900 2100
+Connection ~ 5700 2000
+Wire Wire Line
+       5700 2100 5700 2000
+Connection ~ 5900 2000
+Connection ~ 6200 2000
+Wire Wire Line
+       6200 2100 6200 2000
+Wire Wire Line
+       2250 1100 2050 1100
+Wire Wire Line
+       2250 900  2050 900 
+Connection ~ 2150 1800
+Wire Wire Line
+       2150 1900 2150 1300
+Wire Wire Line
+       2150 1300 2050 1300
+Wire Wire Line
+       1150 1700 1150 1800
+Wire Wire Line
+       9550 5950 9550 6050
+Connection ~ 8800 5400
+Connection ~ 10550 5400
+Wire Wire Line
+       2250 1000 2050 1000
+Wire Wire Line
+       2150 900  2150 800 
+Connection ~ 2150 900 
+Wire Wire Line
+       5500 2100 5500 2000
+Wire Wire Line
+       5500 2000 6300 2000
+Wire Wire Line
+       6300 2000 6300 2100
+Wire Wire Line
+       6000 2100 6000 2000
+Connection ~ 6000 2000
+Wire Wire Line
+       5800 2100 5800 2000
+Connection ~ 5800 2000
+Wire Wire Line
+       5600 2100 5600 2000
+Connection ~ 5600 2000
+Wire Wire Line
+       5500 5300 5500 5400
+Wire Wire Line
+       5500 5400 6300 5400
+Wire Wire Line
+       6300 5400 6300 5300
+Wire Wire Line
+       6000 5300 6000 5400
+Connection ~ 6000 5400
+Wire Wire Line
+       5800 5300 5800 5400
+Connection ~ 5800 5400
+Wire Wire Line
+       5600 5300 5600 5400
+Connection ~ 5600 5400
+Wire Wire Line
+       4600 3700 4500 3700
+Wire Wire Line
+       4600 4800 4500 4800
+Wire Wire Line
+       4500 4800 4500 4900
+Wire Wire Line
+       7300 3400 7400 3400
+Wire Wire Line
+       7300 3600 7400 3600
+Wire Wire Line
+       7300 3800 7400 3800
+Wire Wire Line
+       7300 4000 7400 4000
+Wire Wire Line
+       4600 3100 4500 3100
+Wire Wire Line
+       4600 2900 4500 2900
+Wire Wire Line
+       4600 4600 4500 4600
+Wire Wire Line
+       9000 5550 8900 5550
+Wire Wire Line
+       8900 5550 8900 5400
+Connection ~ 8900 5400
+Wire Wire Line
+       8700 5400 9000 5400
+Wire Wire Line
+       1450 1700 1450 1800
+Connection ~ 1450 1800
+Wire Wire Line
+       1250 1700 1250 1800
+Connection ~ 1250 1800
+Wire Wire Line
+       8650 2550 8550 2550
+Wire Wire Line
+       8650 2750 8550 2750
+Wire Wire Line
+       8650 2950 8550 2950
+Wire Wire Line
+       9500 2650 9600 2650
+Wire Wire Line
+       9500 2850 9600 2850
+Wire Wire Line
+       1150 1800 2150 1800
+Wire Wire Line
+       8550 2950 8550 3050
+Wire Wire Line
+       1300 5600 1300 5700
+Wire Wire Line
+       1600 5600 1600 5700
+Wire Wire Line
+       1900 5600 1900 5700
+Wire Wire Line
+       2200 5600 2200 5700
+Wire Wire Line
+       2500 5600 2500 5700
+Wire Wire Line
+       1300 6000 1300 6100
+Wire Wire Line
+       1600 6000 1600 6100
+Wire Wire Line
+       1900 6000 1900 6100
+Wire Wire Line
+       2200 6000 2200 6100
+Wire Wire Line
+       2500 6000 2500 6100
+Wire Wire Line
+       2800 5600 2800 5700
+Wire Wire Line
+       2800 6000 2800 6100
+Wire Wire Line
+       1300 6800 1300 6900
+Wire Wire Line
+       1600 6800 1600 6900
+Wire Wire Line
+       1900 6800 1900 6900
+Wire Wire Line
+       2200 6800 2200 6900
+Wire Wire Line
+       2200 7200 2200 7300
+Wire Wire Line
+       1900 7200 1900 7300
+Wire Wire Line
+       1600 7200 1600 7300
+Wire Wire Line
+       1300 7200 1300 7300
+Wire Wire Line
+       1350 3050 1350 3150
+Wire Wire Line
+       2150 3050 2150 3150
+Wire Wire Line
+       1600 2650 1350 2650
+Connection ~ 1350 2650
+Wire Wire Line
+       2150 2650 1900 2650
+Connection ~ 2150 2650
+Wire Wire Line
+       1050 4000 950  4000
+Wire Wire Line
+       950  4000 950  3900
+Wire Wire Line
+       1350 4000 1450 4000
+Wire Wire Line
+       1350 4750 1450 4750
+Wire Wire Line
+       1050 4750 950  4750
+Wire Wire Line
+       950  4650 950  4850
+Connection ~ 950  4750
+Wire Wire Line
+       950  5250 950  5150
+Wire Wire Line
+       2550 4400 2450 4400
+Wire Wire Line
+       2450 4400 2450 4300
+Wire Wire Line
+       2850 4400 2950 4400
+Wire Wire Line
+       2550 4000 2450 4000
+Wire Wire Line
+       2450 4000 2450 3900
+Wire Wire Line
+       2850 4000 2950 4000
+Wire Wire Line
+       5350 6200 5450 6200
+Wire Wire Line
+       5050 6200 4950 6200
+Wire Wire Line
+       4650 6200 4550 6200
+Wire Wire Line
+       4550 6200 4550 6300
+Wire Wire Line
+       10250 5550 10250 5650
+Wire Wire Line
+       10250 5950 10250 6050
+Wire Wire Line
+       10550 5650 10550 5300
+Wire Wire Line
+       10550 5950 10550 6050
+$Comp
+L GND #PWR41
+U 1 1 5962E127
+P 10550 6050
+F 0 "#PWR41" H 10550 5800 50  0001 C CNN
+F 1 "GND" H 10550 5900 50  0000 C CNN
+F 2 "" H 10550 6050 50  0000 C CNN
+F 3 "" H 10550 6050 50  0000 C CNN
+       1    10550 6050
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR39
+U 1 1 5962E1AA
+P 10250 6050
+F 0 "#PWR39" H 10250 5800 50  0001 C CNN
+F 1 "GND" H 10250 5900 50  0000 C CNN
+F 2 "" H 10250 6050 50  0000 C CNN
+F 3 "" H 10250 6050 50  0000 C CNN
+       1    10250 6050
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR36
+U 1 1 5962F418
+P 9550 6050
+F 0 "#PWR36" H 9550 5800 50  0001 C CNN
+F 1 "GND" H 9550 5900 50  0000 C CNN
+F 2 "" H 9550 6050 50  0000 C CNN
+F 3 "" H 9550 6050 50  0000 C CNN
+       1    9550 6050
+       1    0    0    -1  
+$EndComp
+Wire Wire Line
+       8800 5650 8800 5400
+Wire Wire Line
+       8800 5950 8800 6050
+$Comp
+L GND #PWR35
+U 1 1 5962F669
+P 8800 6050
+F 0 "#PWR35" H 8800 5800 50  0001 C CNN
+F 1 "GND" H 8800 5900 50  0000 C CNN
+F 2 "" H 8800 6050 50  0000 C CNN
+F 3 "" H 8800 6050 50  0000 C CNN
+       1    8800 6050
+       1    0    0    -1  
+$EndComp
+$Comp
+L +3.3V #PWR32
+U 1 1 59631492
+P 5900 1900
+F 0 "#PWR32" H 5900 1750 50  0001 C CNN
+F 1 "+3.3V" H 5900 2040 50  0000 C CNN
+F 2 "" H 5900 1900 50  0000 C CNN
+F 3 "" H 5900 1900 50  0000 C CNN
+       1    5900 1900
+       1    0    0    -1  
+$EndComp
+$Comp
+L CONN_01X05 P1
+U 1 1 596377A2
+P 8850 2750
+F 0 "P1" H 8850 3050 50  0000 C CNN
+F 1 "CONN_01X05" V 8950 2750 50  0000 C CNN
+F 2 "CONN-5x1-SMD-127MM" H 8850 2750 50  0001 C CNN
+F 3 "" H 8850 2750 50  0000 C CNN
+       1    8850 2750
+       1    0    0    -1  
+$EndComp
+$Comp
+L CONN_01X05 P2
+U 1 1 59637927
+P 9300 2750
+F 0 "P2" H 9300 3050 50  0000 C CNN
+F 1 "CONN_01X05" V 9400 2750 50  0000 C CNN
+F 2 "CONN-5x1-SMD-127MM" H 9300 2750 50  0001 C CNN
+F 3 "" H 9300 2750 50  0000 C CNN
+       1    9300 2750
+       -1   0    0    1   
+$EndComp
+$Comp
+L GND #PWR37
+U 1 1 5963AEBB
+P 9600 3050
+F 0 "#PWR37" H 9600 2800 50  0001 C CNN
+F 1 "GND" H 9600 2900 50  0000 C CNN
+F 2 "" H 9600 3050 50  0000 C CNN
+F 3 "" H 9600 3050 50  0000 C CNN
+       1    9600 3050
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR34
+U 1 1 5963AF21
+P 8550 3050
+F 0 "#PWR34" H 8550 2800 50  0001 C CNN
+F 1 "GND" H 8550 2900 50  0000 C CNN
+F 2 "" H 8550 3050 50  0000 C CNN
+F 3 "" H 8550 3050 50  0000 C CNN
+       1    8550 3050
+       1    0    0    -1  
+$EndComp
+$Comp
+L GND #PWR38
+U 1 1 5962BAD9
+P 10100 1400
+F 0 "#PWR38" H 10100 1150 50  0001 C CNN
+F 1 "GND" H 10100 1250 50  0000 C CNN
+F 2 "" H 10100 1400 50  0000 C CNN
+F 3 "" H 10100 1400 50  0000 C CNN
+       1    10100 1400
+       1    0    0    -1  
+$EndComp
+$EndSCHEMATC