]> sigrok.org Git - libsigrokdecode.git/blame - decoders/i2c.py
srd: i2c.py: Rework I2C decoder.
[libsigrokdecode.git] / decoders / i2c.py
CommitLineData
0588ed70
UH
1##
2## This file is part of the sigrok project.
3##
7b86f0bc 4## Copyright (C) 2010-2011 Uwe Hermann <uwe@hermann-uwe.de>
0588ed70
UH
5##
6## This program is free software; you can redistribute it and/or modify
7## it under the terms of the GNU General Public License as published by
8## the Free Software Foundation; either version 2 of the License, or
9## (at your option) any later version.
10##
11## This program is distributed in the hope that it will be useful,
12## but WITHOUT ANY WARRANTY; without even the implied warranty of
13## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
14## GNU General Public License for more details.
15##
16## You should have received a copy of the GNU General Public License
17## along with this program; if not, write to the Free Software
18## Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
19##
20
21#
22# I2C protocol decoder
23#
24
25#
26# The Inter-Integrated Circuit (I2C) bus is a bidirectional, multi-master
27# bus using two signals (SCL = serial clock line, SDA = serial data line).
28#
29# There can be many devices on the same bus. Each device can potentially be
30# master or slave (and that can change during runtime). Both slave and master
31# can potentially play the transmitter or receiver role (this can also
32# change at runtime).
33#
34# Possible maximum data rates:
35# - Standard mode: 100 kbit/s
36# - Fast mode: 400 kbit/s
37# - Fast-mode Plus: 1 Mbit/s
38# - High-speed mode: 3.4 Mbit/s
39#
40# START condition (S): SDA = falling, SCL = high
41# Repeated START condition (Sr): same as S
7b86f0bc 42# Data bit sampling: SCL = rising
0588ed70
UH
43# STOP condition (P): SDA = rising, SCL = high
44#
33e72c54 45# All data bytes on SDA are exactly 8 bits long (transmitted MSB-first).
0588ed70
UH
46# Each byte has to be followed by a 9th ACK/NACK bit. If that bit is low,
47# that indicates an ACK, if it's high that indicates a NACK.
48#
49# After the first START condition, a master sends the device address of the
50# slave it wants to talk to. Slave addresses are 7 bits long (MSB-first).
33e72c54 51# After those 7 bits, a data direction bit is sent. If the bit is low that
0588ed70
UH
52# indicates a WRITE operation, if it's high that indicates a READ operation.
53#
54# Later an optional 10bit slave addressing scheme was added.
55#
56# Documentation:
57# http://www.nxp.com/acrobat/literature/9398/39340011.pdf (v2.1 spec)
58# http://www.nxp.com/acrobat/usermanuals/UM10204_3.pdf (v3 spec)
59# http://en.wikipedia.org/wiki/I2C
60#
61
62# TODO: Look into arbitration, collision detection, clock synchronisation, etc.
63# TODO: Handle clock stretching.
64# TODO: Handle combined messages / repeated START.
65# TODO: Implement support for 7bit and 10bit slave addresses.
66# TODO: Implement support for inverting SDA/SCL levels (0->1 and 1->0).
67# TODO: Implement support for detecting various bus errors.
68
23fb2e12
UH
69#
70# I2C output format:
71#
72# The output consists of a (Python) list of I2C "packets", each of which
73# has an (implicit) index number (its index in the list).
74# Each packet consists of a Python dict with certain key/value pairs.
75#
76# TODO: Make this a list later instead of a dict?
77#
78# 'type': (string)
79# - 'S' (START condition)
80# - 'Sr' (Repeated START)
81# - 'AR' (Address, read)
82# - 'AW' (Address, write)
83# - 'DR' (Data, read)
84# - 'DW' (Data, write)
85# - 'P' (STOP condition)
86# 'range': (tuple of 2 integers, the min/max samplenumber of this range)
87# - (min, max)
88# - min/max can also be identical.
89# 'data': (actual data as integer ???) TODO: This can be very variable...
90# 'ann': (string; additional annotations / comments)
91#
92# Example output:
93# [{'type': 'S', 'range': (150, 160), 'data': None, 'ann': 'Foobar'},
94# {'type': 'AW', 'range': (200, 300), 'data': 0x50, 'ann': 'Slave 4'},
95# {'type': 'DW', 'range': (310, 370), 'data': 0x00, 'ann': 'Init cmd'},
96# {'type': 'AR', 'range': (500, 560), 'data': 0x50, 'ann': 'Get stat'},
97# {'type': 'DR', 'range': (580, 640), 'data': 0xfe, 'ann': 'OK'},
98# {'type': 'P', 'range': (650, 660), 'data': None, 'ann': None}]
99#
100# Possible other events:
101# - Error event in case protocol looks broken:
102# [{'type': 'ERROR', 'range': (min, max),
ad2dc0de 103# 'data': TODO, 'ann': 'This is not a Microchip 24XX64 EEPROM'},
23fb2e12 104# [{'type': 'ERROR', 'range': (min, max),
ad2dc0de 105# 'data': TODO, 'ann': 'TODO'},
23fb2e12
UH
106# - TODO: Make list of possible errors accessible as metadata?
107#
108# TODO: I2C address of slaves.
109# TODO: Handle multiple different I2C devices on same bus
110# -> we need to decode multiple protocols at the same time.
111# TODO: range: Always contiguous? Splitted ranges? Multiple per event?
112#
113
114#
115# I2C input format:
116#
117# signals:
118# [[id, channel, description], ...] # TODO
119#
120# Example:
121# {'id': 'SCL', 'ch': 5, 'desc': 'Serial clock line'}
122# {'id': 'SDA', 'ch': 7, 'desc': 'Serial data line'}
123# ...
124#
125# {'inbuf': [...],
126# 'signals': [{'SCL': }]}
127#
128
f39d2404
UH
129class Sample():
130 def __init__(self, data):
131 self.data = data
132 def probe(self, probe):
133 s = ord(self.data[probe / 8]) & (1 << (probe % 8))
134 return True if s else False
135
136def sampleiter(data, unitsize):
137 for i in range(0, len(data), unitsize):
138 yield(Sample(data[i:i+unitsize]))
139
140class Decoder():
141 name = 'I2C'
142 longname = 'Inter-Integrated Circuit (I2C) bus'
143 desc = 'I2C is a two-wire, multi-master, serial bus.'
144 longdesc = '...'
145 author = 'Uwe Hermann'
146 email = 'uwe@hermann-uwe.de'
147 license = 'gplv2+'
148 inputs = ['logic']
149 outputs = ['i2c']
150 probes = {
151 'scl': {'ch': 0, 'name': 'SCL', 'desc': 'Serial clock line'},
152 'sda': {'ch': 1, 'name': 'SDA', 'desc': 'Serial data line'},
153 }
154 options = {
155 'address-space': ['Address space (in bits)', 7],
ad2dc0de 156 }
0588ed70 157
3643fc3f 158 def __init__(self, **kwargs):
f39d2404
UH
159 self.probes = Decoder.probes.copy()
160
161 # TODO: Don't hardcode the number of channels.
162 self.channels = 8
163
164 self.samplenum = 0
f39d2404
UH
165 self.bitcount = 0
166 self.databyte = 0
167 self.wr = -1
168 self.startsample = -1
7b86f0bc
UH
169
170 self.FIND_START, self.FIND_ADDRESS, self.FIND_DATA = range(3)
171 self.state = self.FIND_START
f39d2404
UH
172
173 # Get the channel/probe number of the SCL/SDA signals.
174 self.scl_bit = self.probes['scl']['ch']
175 self.sda_bit = self.probes['sda']['ch']
176
177 self.oldscl = None
178 self.oldsda = None
179
3643fc3f
GM
180 def start(self, metadata):
181 self.unitsize = metadata["unitsize"]
182
f39d2404
UH
183 def report(self):
184 pass
185
7b86f0bc
UH
186 def is_start_condition(self, scl, sda):
187 '''START condition (S): SDA = falling, SCL = high'''
188 if (self.oldsda == 1 and sda == 0) and scl == 1:
189 return True
190 return False
191
192 def is_data_bit(self, scl, sda):
193 '''Data sampling of receiver: SCL = rising'''
194 if self.oldscl == 0 and scl == 1:
195 return True
196 return False
197
198 def is_stop_condition(self, scl, sda):
199 '''STOP condition (P): SDA = rising, SCL = high'''
200 if (self.oldsda == 0 and sda == 1) and scl == 1:
201 return True
202 return False
203
204 def find_start(self, scl, sda):
205 out = []
206 # o = {'type': 'S', 'range': (self.samplenum, self.samplenum),
207 # 'data': None, 'ann': None},
208 o = 'S'
209 out.append(o)
210 self.state = self.FIND_ADDRESS
211 self.bitcount = self.databyte = 0
212 self.wr = -1
213 return out
214
215 def find_address_or_data(self, scl, sda):
216 '''Gather 8 bits of data plus the ACK/NACK bit.'''
217 out = o = []
218
219 if self.startsample == -1:
220 self.startsample = self.samplenum
221 self.bitcount += 1
222
223 # Address and data are transmitted MSB-first.
224 self.databyte <<= 1
225 self.databyte |= sda
226
227 # Return if we haven't collected all 8 + 1 bits, yet.
228 if self.bitcount != 9:
229 return []
230
231 # We received 8 address/data bits and the ACK/NACK bit.
232 self.databyte >>= 1 # Shift out unwanted ACK/NACK bit here.
233
234 ack = (sda == 1) and 'N' or 'A'
235
236 if self.state == self.FIND_ADDRESS:
237 d = self.databyte & 0xfe
238 # The READ/WRITE bit is only in address bytes, not data bytes.
239 self.wr = (self.databyte & 1) and 1 or 0
240 elif self.state == self.FIND_DATA:
241 d = self.databyte
242 else:
243 # TODO: Error?
244 pass
245
246 # o = {'type': self.state,
247 # 'range': (self.startsample, self.samplenum - 1),
248 # 'data': d, 'ann': None}
249
250 o = {'data': "0x%02x" % d}
251
252 # TODO: Simplify.
253 if self.state == self.FIND_ADDRESS and self.wr == 1:
254 o['type'] = 'AW'
255 elif self.state == self.FIND_ADDRESS and self.wr == 0:
256 o['type'] = 'AR'
257 elif self.state == self.FIND_DATA and self.wr == 1:
258 o['type'] = 'DW'
259 elif self.state == self.FIND_DATA and self.wr == 0:
260 o['type'] = 'DR'
261
262 out.append(o)
263
264 # o = {'type': ack, 'range': (self.samplenum, self.samplenum),
265 # 'data': None, 'ann': None}
266 o = ack
267 out.append(o)
268 self.bitcount = self.databyte = 0
269 self.startsample = -1
270
271 if self.state == self.FIND_ADDRESS:
272 self.state = self.FIND_DATA
273 elif self.state == self.FIND_DATA:
274 # There could be multiple data bytes in a row.
275 # So, either find a STOP condition or another data byte next.
276 pass
277
278 return out
279
280 def find_stop(self, scl, sda):
281 out = o = []
282
283 # o = {'type': 'P', 'range': (self.samplenum, self.samplenum),
284 # 'data': None, 'ann': None},
285 o = 'P'
286 out.append(o)
287 self.state = self.FIND_START
288 self.wr = -1
289
290 return out
291
f39d2404
UH
292 def decode(self, data):
293 """I2C protocol decoder"""
294
295 out = []
296 o = ack = d = ''
297
298 # We should accept a list of samples and iterate...
299 for sample in sampleiter(data["data"], self.unitsize):
300
301 # TODO: Eliminate the need for ord().
302 s = ord(sample.data)
303
304 # TODO: Start counting at 0 or 1?
305 self.samplenum += 1
306
307 # First sample: Save SCL/SDA value.
308 if self.oldscl == None:
309 # Get SCL/SDA bit values (0/1 for low/high) of the first sample.
310 self.oldscl = (s & (1 << self.scl_bit)) >> self.scl_bit
311 self.oldsda = (s & (1 << self.sda_bit)) >> self.sda_bit
ad2dc0de 312 continue
0588ed70 313
f39d2404
UH
314 # Get SCL/SDA bit values (0/1 for low/high).
315 scl = (s & (1 << self.scl_bit)) >> self.scl_bit
316 sda = (s & (1 << self.sda_bit)) >> self.sda_bit
317
318 # TODO: Wait until the bus is idle (SDA = SCL = 1) first?
319
7b86f0bc
UH
320 # State machine.
321 if self.state == self.FIND_START:
322 if self.is_start_condition(scl, sda):
323 out += self.find_start(scl, sda)
324 elif self.state == self.FIND_ADDRESS:
325 if self.is_data_bit(scl, sda):
326 out += self.find_address_or_data(scl, sda)
327 elif self.state == self.FIND_DATA:
328 if self.is_data_bit(scl, sda):
329 out += self.find_address_or_data(scl, sda)
330 elif self.is_start_condition(scl, sda):
331 out += self.find_start(scl, sda)
332 elif self.is_stop_condition(scl, sda):
333 out += self.find_stop(scl, sda)
334 else:
335 # TODO: Error?
336 pass
f39d2404
UH
337
338 # Save current SDA/SCL values for the next round.
339 self.oldscl = scl
340 self.oldsda = sda
341
f39d2404
UH
342 if out != []:
343 sigrok.put(out)
0588ed70 344
887d6cfa
UH
345# Use psyco (if available) as it results in huge performance improvements.
346try:
ad2dc0de
UH
347 import psyco
348 psyco.bind(decode)
887d6cfa 349except ImportError:
ad2dc0de 350 pass
887d6cfa 351
f39d2404
UH
352import sigrok
353