]> sigrok.org Git - libsigrok.git/commit
Factor out common hw_init() driver code.
authorUwe Hermann <redacted>
Tue, 29 Jan 2013 11:55:00 +0000 (12:55 +0100)
committerUwe Hermann <redacted>
Tue, 29 Jan 2013 11:56:02 +0000 (12:56 +0100)
commit063e7aef6d41d4c44591ff93672079998bf9622f
tree66f5fb7568db26d0dfddc9e63ef454d308101c5e
parent4b97c74e0b5c19ad0ee04620b618461248ab69d7
Factor out common hw_init() driver code.

Most drivers do pretty much the same things in their hw_init()
right now, so factor out that code to std_hw_init() in std.c.
22 files changed:
Makefile.am
hardware/agilent-dmm/api.c
hardware/alsa/api.c
hardware/asix-sigma/asix-sigma.c
hardware/chronovu-la8/api.c
hardware/colead-slm/api.c
hardware/demo/demo.c
hardware/fluke-dmm/api.c
hardware/fx2lafw/fx2lafw.c
hardware/hantek-dso/api.c
hardware/lascar-el-usb/api.c
hardware/link-mso19/api.c
hardware/nexus-osciprime/api.c
hardware/openbench-logic-sniffer/api.c
hardware/rigol-ds1xx2/api.c
hardware/serial-dmm/api.c
hardware/tondaj-sl-814/api.c
hardware/uni-t-dmm/api.c
hardware/victor-dmm/api.c
hardware/zeroplus-logic-cube/zeroplus.c
libsigrok-internal.h
std.c [new file with mode: 0644]