From: Gerhard Sittig Date: Mon, 3 Oct 2022 19:14:26 +0000 (+0200) Subject: parallel: recent PD implementation flushes last samples upon EOF X-Git-Url: https://sigrok.org/gitweb/?p=sigrok-test.git;a=commitdiff_plain;h=bcfa07e61c7125be5b7a9be809a2cf39b38935d0 parallel: recent PD implementation flushes last samples upon EOF Catch up with the implementation of the "parallel" decoder, which now flushes previously accumulated information when the end of input data is seen. Which completes the last "item" (data pattern on the bus), and may complete another "word" (data value that spans several bus cycles). --- diff --git a/decoder/test/parallel/hd44780_word_demux.output b/decoder/test/parallel/hd44780_word_demux.output index 0fa08af..6b8431e 100644 --- a/decoder/test/parallel/hd44780_word_demux.output +++ b/decoder/test/parallel/hd44780_word_demux.output @@ -98,3 +98,5 @@ 14367902-14567897 parallel: item: "0" 14267907-14567897 parallel: word: "30" 14567897-14667893 parallel: item: "3" +14667893-15824176 parallel: item: "0" +14567897-15824176 parallel: word: "30" diff --git a/decoder/test/parallel/incremental_8ch_long_clock.output b/decoder/test/parallel/incremental_8ch_long_clock.output index 68dc3d8..7efc24a 100644 --- a/decoder/test/parallel/incremental_8ch_long_clock.output +++ b/decoder/test/parallel/incremental_8ch_long_clock.output @@ -4997,3 +4997,4 @@ 9993-9995 parallel: item: "09" 9995-9997 parallel: item: "0b" 9997-9999 parallel: item: "0d" +9999-10000 parallel: item: "0f" diff --git a/decoder/test/parallel/incremental_8ch_long_clock.python b/decoder/test/parallel/incremental_8ch_long_clock.python index 1408b44..aa01c12 100644 --- a/decoder/test/parallel/incremental_8ch_long_clock.python +++ b/decoder/test/parallel/incremental_8ch_long_clock.python @@ -4997,3 +4997,4 @@ 9993-9995 parallel: ['ITEM', (9, 8)] 9995-9997 parallel: ['ITEM', (11, 8)] 9997-9999 parallel: ['ITEM', (13, 8)] +9999-10000 parallel: ['ITEM', (15, 8)] diff --git a/decoder/test/parallel/incremental_8ch_long_noclock.output b/decoder/test/parallel/incremental_8ch_long_noclock.output index df9ad08..04f1102 100644 --- a/decoder/test/parallel/incremental_8ch_long_noclock.output +++ b/decoder/test/parallel/incremental_8ch_long_noclock.output @@ -9997,3 +9997,4 @@ 9996-9997 parallel: item: "0c" 9997-9998 parallel: item: "0d" 9998-9999 parallel: item: "0e" +9999-10000 parallel: item: "0f" diff --git a/decoder/test/parallel/incremental_8ch_long_noclock.python b/decoder/test/parallel/incremental_8ch_long_noclock.python index bb82605..8663448 100644 --- a/decoder/test/parallel/incremental_8ch_long_noclock.python +++ b/decoder/test/parallel/incremental_8ch_long_noclock.python @@ -9997,3 +9997,4 @@ 9996-9997 parallel: ['ITEM', (12, 8)] 9997-9998 parallel: ['ITEM', (13, 8)] 9998-9999 parallel: ['ITEM', (14, 8)] +9999-10000 parallel: ['ITEM', (15, 8)] diff --git a/decoder/test/parallel/incremental_8ch_short_clock.output b/decoder/test/parallel/incremental_8ch_short_clock.output index da4c56c..e7b6064 100644 --- a/decoder/test/parallel/incremental_8ch_short_clock.output +++ b/decoder/test/parallel/incremental_8ch_short_clock.output @@ -2,3 +2,4 @@ 3-5 parallel: item: "03" 5-7 parallel: item: "05" 7-9 parallel: item: "07" +9-10 parallel: item: "09" diff --git a/decoder/test/parallel/incremental_8ch_short_clock.python b/decoder/test/parallel/incremental_8ch_short_clock.python index 97c2da5..f204c25 100644 --- a/decoder/test/parallel/incremental_8ch_short_clock.python +++ b/decoder/test/parallel/incremental_8ch_short_clock.python @@ -2,3 +2,4 @@ 3-5 parallel: ['ITEM', (3, 8)] 5-7 parallel: ['ITEM', (5, 8)] 7-9 parallel: ['ITEM', (7, 8)] +9-10 parallel: ['ITEM', (9, 8)] diff --git a/decoder/test/parallel/incremental_8ch_short_noclock.output b/decoder/test/parallel/incremental_8ch_short_noclock.output index 84f0012..fde42cc 100644 --- a/decoder/test/parallel/incremental_8ch_short_noclock.output +++ b/decoder/test/parallel/incremental_8ch_short_noclock.output @@ -7,3 +7,4 @@ 6-7 parallel: item: "06" 7-8 parallel: item: "07" 8-9 parallel: item: "08" +9-10 parallel: item: "09" diff --git a/decoder/test/parallel/incremental_8ch_short_noclock.python b/decoder/test/parallel/incremental_8ch_short_noclock.python index 9168121..528eb03 100644 --- a/decoder/test/parallel/incremental_8ch_short_noclock.python +++ b/decoder/test/parallel/incremental_8ch_short_noclock.python @@ -7,3 +7,4 @@ 6-7 parallel: ['ITEM', (6, 8)] 7-8 parallel: ['ITEM', (7, 8)] 8-9 parallel: ['ITEM', (8, 8)] +9-10 parallel: ['ITEM', (9, 8)]