From: Simon Ruderich Date: Sat, 10 Feb 2024 14:17:46 +0000 (+0100) Subject: avr_isp: add test for ATmega328/P X-Git-Url: https://sigrok.org/gitweb/?p=sigrok-test.git;a=commitdiff_plain;h=HEAD;hp=12f978c9d45b3bf5b5767cb3104fac4c38bfd3df avr_isp: add test for ATmega328/P --- diff --git a/decoder/test/avr_isp/atmega328p_scan.output b/decoder/test/avr_isp/atmega328p_scan.output new file mode 100644 index 0000000..7ec7403 --- /dev/null +++ b/decoder/test/avr_isp/atmega328p_scan.output @@ -0,0 +1,5 @@ +216006-220484 avr_isp: pe: "Programming enable" +216006-220484 avr_isp: warning: "Warning: Unexpected bytes in reply!" +343940-348418 avr_isp: rsb0: "Vendor code: 0x1e (Atmel)" +471874-476352 avr_isp: rsb1: "Part family / memory size: 0x95" +599805-604283 avr_isp: rsb2: "Part number: 0x0f" diff --git a/decoder/test/avr_isp/test.conf b/decoder/test/avr_isp/test.conf index f164fcc..3ef431a 100644 --- a/decoder/test/avr_isp/test.conf +++ b/decoder/test/avr_isp/test.conf @@ -18,3 +18,10 @@ test atmega88_read_lfuse stack spi avr_isp input avr_isp/atmega88/isp_atmega88_read_lfuse.sr output avr_isp annotation match atmega88_read_lfuse.output + +test atmega328p_scan + protocol-decoder spi channel clk=2 channel mosi=0 channel miso=1 + protocol-decoder avr_isp + stack spi avr_isp + input avr_isp/atmega328p/isp_atmega328p_buspirate_scan.sr + output avr_isp annotation match atmega328p_scan.output diff --git a/decoder/test/i2cfilter/test.conf b/decoder/test/i2cfilter/test.conf index 03955af..32cfc75 100644 --- a/decoder/test/i2cfilter/test.conf +++ b/decoder/test/i2cfilter/test.conf @@ -11,3 +11,17 @@ test trekstor_ebr30_a_i2c_0x15_21 stack i2c i2cfilter input i2c/trekstor_ebr30_a/trekstor_ebr30_a_i2c_0x15.sr output i2cfilter python match trekstor_ebr30_a_i2c_0x15_21.python + +test trekstor_ebr30_a_i2c_0x15_write + protocol-decoder i2c channel scl=0 channel sda=1 + protocol-decoder i2cfilter option address=21 option direction=write + stack i2c i2cfilter + input i2c/trekstor_ebr30_a/trekstor_ebr30_a_i2c_0x15.sr + output i2cfilter python match trekstor_ebr30_a_i2c_0x15_write.python + +test trekstor_ebr30_a_i2c_0x15_read + protocol-decoder i2c channel scl=0 channel sda=1 + protocol-decoder i2cfilter option address=21 option direction=read + stack i2c i2cfilter + input i2c/trekstor_ebr30_a/trekstor_ebr30_a_i2c_0x15.sr + output i2cfilter python match trekstor_ebr30_a_i2c_0x15_read.python diff --git a/decoder/test/i2cfilter/trekstor_ebr30_a_i2c_0x15_read.python b/decoder/test/i2cfilter/trekstor_ebr30_a_i2c_0x15_read.python new file mode 100644 index 0000000..114d86f --- /dev/null +++ b/decoder/test/i2cfilter/trekstor_ebr30_a_i2c_0x15_read.python @@ -0,0 +1,147 @@ +74383-74464 i2cfilter: ['BITS', [[1, 74454, 74464], [1, 74444, 74454], [0, 74434, 74444], [1, 74424, 74434], [0, 74414, 74424], [1, 74403, 74414], [0, 74393, 74403], [0, 74383, 74393]]] +74383-74464 i2cfilter: ['ADDRESS READ', 21] +74464-74474 i2cfilter: ['ACK', None] +74481-74562 i2cfilter: ['BITS', [[0, 74552, 74562], [1, 74542, 74552], [0, 74532, 74542], [1, 74522, 74532], [1, 74512, 74522], [0, 74502, 74512], [0, 74491, 74502], [0, 74481, 74491]]] +74481-74562 i2cfilter: ['DATA READ', 26] +74562-74572 i2cfilter: ['NACK', None] +74585-74585 i2cfilter: ['STOP', None] +474594-474676 i2cfilter: ['BITS', [[1, 474665, 474676], [1, 474654, 474665], [0, 474644, 474654], [1, 474634, 474644], [0, 474624, 474634], [1, 474614, 474624], [0, 474604, 474614], [0, 474594, 474604]]] +474594-474676 i2cfilter: ['ADDRESS READ', 21] +474675-474686 i2cfilter: ['ACK', None] +474690-474771 i2cfilter: ['BITS', [[0, 474761, 474771], [1, 474751, 474761], [0, 474740, 474751], [1, 474730, 474740], [1, 474720, 474730], [0, 474710, 474720], [0, 474700, 474710], [0, 474690, 474700]]] +474690-474771 i2cfilter: ['DATA READ', 26] +474771-474781 i2cfilter: ['NACK', None] +474791-474791 i2cfilter: ['STOP', None] +874831-874912 i2cfilter: ['BITS', [[1, 874902, 874912], [1, 874892, 874902], [0, 874882, 874892], [1, 874872, 874882], [0, 874862, 874872], [1, 874852, 874862], [0, 874841, 874852], [0, 874831, 874841]]] +874831-874912 i2cfilter: ['ADDRESS READ', 21] +874912-874922 i2cfilter: ['ACK', None] +874926-875007 i2cfilter: ['BITS', [[0, 874997, 875007], [1, 874987, 874997], [0, 874977, 874987], [1, 874967, 874977], [1, 874957, 874967], [0, 874947, 874957], [0, 874937, 874947], [0, 874926, 874937]]] +874926-875007 i2cfilter: ['DATA READ', 26] +875007-875017 i2cfilter: ['NACK', None] +875029-875029 i2cfilter: ['STOP', None] +1275177-1275258 i2cfilter: ['BITS', [[1, 1275248, 1275258], [1, 1275238, 1275248], [0, 1275227, 1275238], [1, 1275217, 1275227], [0, 1275207, 1275217], [1, 1275197, 1275207], [0, 1275187, 1275197], [0, 1275177, 1275187]]] +1275177-1275258 i2cfilter: ['ADDRESS READ', 21] +1275258-1275268 i2cfilter: ['ACK', None] +1275275-1275356 i2cfilter: ['BITS', [[0, 1275346, 1275356], [1, 1275336, 1275346], [0, 1275326, 1275336], [1, 1275315, 1275326], [1, 1275305, 1275315], [0, 1275295, 1275305], [0, 1275285, 1275295], [0, 1275275, 1275285]]] +1275275-1275356 i2cfilter: ['DATA READ', 26] +1275356-1275366 i2cfilter: ['NACK', None] +1275380-1275380 i2cfilter: ['STOP', None] +1675315-1675396 i2cfilter: ['BITS', [[1, 1675386, 1675396], [1, 1675376, 1675386], [0, 1675366, 1675376], [1, 1675356, 1675366], [0, 1675346, 1675356], [1, 1675335, 1675346], [0, 1675325, 1675335], [0, 1675315, 1675325]]] +1675315-1675396 i2cfilter: ['ADDRESS READ', 21] +1675396-1675406 i2cfilter: ['ACK', None] +1675411-1675492 i2cfilter: ['BITS', [[0, 1675482, 1675492], [1, 1675472, 1675482], [0, 1675462, 1675472], [1, 1675452, 1675462], [1, 1675442, 1675452], [0, 1675431, 1675442], [0, 1675421, 1675431], [0, 1675411, 1675421]]] +1675411-1675492 i2cfilter: ['DATA READ', 26] +1675492-1675502 i2cfilter: ['NACK', None] +1675515-1675515 i2cfilter: ['STOP', None] +2075597-2075677 i2cfilter: ['BITS', [[1, 2075667, 2075677], [1, 2075657, 2075667], [0, 2075647, 2075657], [1, 2075637, 2075647], [0, 2075627, 2075637], [1, 2075617, 2075627], [0, 2075607, 2075617], [0, 2075597, 2075607]]] +2075597-2075677 i2cfilter: ['ADDRESS READ', 21] +2075678-2075688 i2cfilter: ['ACK', None] +2075694-2075776 i2cfilter: ['BITS', [[0, 2075765, 2075776], [1, 2075754, 2075765], [0, 2075744, 2075754], [1, 2075734, 2075744], [1, 2075724, 2075734], [0, 2075714, 2075724], [0, 2075704, 2075714], [0, 2075694, 2075704]]] +2075694-2075776 i2cfilter: ['DATA READ', 26] +2075775-2075786 i2cfilter: ['NACK', None] +2075797-2075797 i2cfilter: ['STOP', None] +2475731-2475812 i2cfilter: ['BITS', [[1, 2475802, 2475812], [1, 2475792, 2475802], [0, 2475782, 2475792], [1, 2475772, 2475782], [0, 2475762, 2475772], [1, 2475751, 2475762], [0, 2475741, 2475751], [0, 2475731, 2475741]]] +2475731-2475812 i2cfilter: ['ADDRESS READ', 21] +2475812-2475822 i2cfilter: ['ACK', None] +2475826-2475907 i2cfilter: ['BITS', [[0, 2475897, 2475907], [1, 2475887, 2475897], [0, 2475877, 2475887], [1, 2475867, 2475877], [1, 2475857, 2475867], [0, 2475846, 2475857], [0, 2475836, 2475846], [0, 2475826, 2475836]]] +2475826-2475907 i2cfilter: ['DATA READ', 26] +2475907-2475917 i2cfilter: ['NACK', None] +2475931-2475931 i2cfilter: ['STOP', None] +2876033-2876114 i2cfilter: ['BITS', [[1, 2876104, 2876114], [1, 2876094, 2876104], [0, 2876083, 2876094], [1, 2876073, 2876083], [0, 2876063, 2876073], [1, 2876053, 2876063], [0, 2876043, 2876053], [0, 2876033, 2876043]]] +2876033-2876114 i2cfilter: ['ADDRESS READ', 21] +2876114-2876124 i2cfilter: ['ACK', None] +2876131-2876212 i2cfilter: ['BITS', [[0, 2876202, 2876212], [1, 2876192, 2876202], [0, 2876182, 2876192], [1, 2876171, 2876182], [1, 2876161, 2876171], [0, 2876151, 2876161], [0, 2876141, 2876151], [0, 2876131, 2876141]]] +2876131-2876212 i2cfilter: ['DATA READ', 26] +2876212-2876222 i2cfilter: ['NACK', None] +2876235-2876235 i2cfilter: ['STOP', None] +3276253-3276333 i2cfilter: ['BITS', [[1, 3276323, 3276333], [1, 3276313, 3276323], [0, 3276303, 3276313], [1, 3276293, 3276303], [0, 3276283, 3276293], [1, 3276273, 3276283], [0, 3276263, 3276273], [0, 3276253, 3276263]]] +3276253-3276333 i2cfilter: ['ADDRESS READ', 21] +3276334-3276344 i2cfilter: ['ACK', None] +3276350-3276432 i2cfilter: ['BITS', [[0, 3276421, 3276432], [1, 3276410, 3276421], [0, 3276400, 3276410], [1, 3276390, 3276400], [1, 3276380, 3276390], [0, 3276370, 3276380], [0, 3276360, 3276370], [0, 3276350, 3276360]]] +3276350-3276432 i2cfilter: ['DATA READ', 26] +3276431-3276442 i2cfilter: ['NACK', None] +3276455-3276455 i2cfilter: ['STOP', None] +3676499-3676580 i2cfilter: ['BITS', [[1, 3676570, 3676580], [1, 3676560, 3676570], [0, 3676550, 3676560], [1, 3676540, 3676550], [0, 3676529, 3676540], [1, 3676519, 3676529], [0, 3676509, 3676519], [0, 3676499, 3676509]]] +3676499-3676580 i2cfilter: ['ADDRESS READ', 21] +3676580-3676590 i2cfilter: ['ACK', None] +3676596-3676677 i2cfilter: ['BITS', [[0, 3676667, 3676677], [1, 3676657, 3676667], [0, 3676647, 3676657], [1, 3676637, 3676647], [1, 3676627, 3676637], [0, 3676616, 3676627], [0, 3676606, 3676616], [0, 3676596, 3676606]]] +3676596-3676677 i2cfilter: ['DATA READ', 26] +3676677-3676687 i2cfilter: ['NACK', None] +3676700-3676700 i2cfilter: ['STOP', None] +4076637-4076717 i2cfilter: ['BITS', [[1, 4076707, 4076717], [1, 4076697, 4076707], [0, 4076687, 4076697], [1, 4076677, 4076687], [0, 4076667, 4076677], [1, 4076657, 4076667], [0, 4076647, 4076657], [0, 4076637, 4076647]]] +4076637-4076717 i2cfilter: ['ADDRESS READ', 21] +4076718-4076728 i2cfilter: ['ACK', None] +4076734-4076816 i2cfilter: ['BITS', [[0, 4076805, 4076816], [1, 4076794, 4076805], [0, 4076784, 4076794], [1, 4076774, 4076784], [1, 4076764, 4076774], [0, 4076754, 4076764], [0, 4076744, 4076754], [0, 4076734, 4076744]]] +4076734-4076816 i2cfilter: ['DATA READ', 26] +4076815-4076826 i2cfilter: ['NACK', None] +4076837-4076837 i2cfilter: ['STOP', None] +4476855-4476936 i2cfilter: ['BITS', [[1, 4476926, 4476936], [1, 4476916, 4476926], [0, 4476905, 4476916], [1, 4476895, 4476905], [0, 4476885, 4476895], [1, 4476875, 4476885], [0, 4476865, 4476875], [0, 4476855, 4476865]]] +4476855-4476936 i2cfilter: ['ADDRESS READ', 21] +4476936-4476946 i2cfilter: ['ACK', None] +4476950-4477031 i2cfilter: ['BITS', [[0, 4477021, 4477031], [1, 4477011, 4477021], [0, 4477001, 4477011], [1, 4476990, 4477001], [1, 4476980, 4476990], [0, 4476970, 4476980], [0, 4476960, 4476970], [0, 4476950, 4476960]]] +4476950-4477031 i2cfilter: ['DATA READ', 26] +4477031-4477041 i2cfilter: ['NACK', None] +4477051-4477051 i2cfilter: ['STOP', None] +4877094-4877174 i2cfilter: ['BITS', [[1, 4877164, 4877174], [1, 4877154, 4877164], [0, 4877144, 4877154], [1, 4877134, 4877144], [0, 4877124, 4877134], [1, 4877114, 4877124], [0, 4877104, 4877114], [0, 4877094, 4877104]]] +4877094-4877174 i2cfilter: ['ADDRESS READ', 21] +4877174-4877184 i2cfilter: ['ACK', None] +4877190-4877270 i2cfilter: ['BITS', [[0, 4877260, 4877270], [1, 4877250, 4877260], [0, 4877240, 4877250], [1, 4877230, 4877240], [1, 4877220, 4877230], [0, 4877210, 4877220], [0, 4877200, 4877210], [0, 4877190, 4877200]]] +4877190-4877270 i2cfilter: ['DATA READ', 26] +4877271-4877281 i2cfilter: ['NACK', None] +4877291-4877291 i2cfilter: ['STOP', None] +5277417-5277498 i2cfilter: ['BITS', [[1, 5277488, 5277498], [1, 5277478, 5277488], [0, 5277468, 5277478], [1, 5277458, 5277468], [0, 5277448, 5277458], [1, 5277438, 5277448], [0, 5277427, 5277438], [0, 5277417, 5277427]]] +5277417-5277498 i2cfilter: ['ADDRESS READ', 21] +5277498-5277508 i2cfilter: ['ACK', None] +5277515-5277596 i2cfilter: ['BITS', [[0, 5277586, 5277596], [1, 5277576, 5277586], [0, 5277566, 5277576], [1, 5277556, 5277566], [1, 5277546, 5277556], [0, 5277536, 5277546], [0, 5277526, 5277536], [0, 5277515, 5277526]]] +5277515-5277596 i2cfilter: ['DATA READ', 26] +5277596-5277606 i2cfilter: ['NACK', None] +5277622-5277622 i2cfilter: ['STOP', None] +5677568-5677649 i2cfilter: ['BITS', [[1, 5677639, 5677649], [1, 5677629, 5677639], [0, 5677619, 5677629], [1, 5677609, 5677619], [0, 5677599, 5677609], [1, 5677589, 5677599], [0, 5677579, 5677589], [0, 5677568, 5677579]]] +5677568-5677649 i2cfilter: ['ADDRESS READ', 21] +5677649-5677659 i2cfilter: ['ACK', None] +5677666-5677746 i2cfilter: ['BITS', [[0, 5677736, 5677746], [1, 5677726, 5677736], [0, 5677716, 5677726], [1, 5677706, 5677716], [1, 5677696, 5677706], [0, 5677686, 5677696], [0, 5677676, 5677686], [0, 5677666, 5677676]]] +5677666-5677746 i2cfilter: ['DATA READ', 26] +5677747-5677757 i2cfilter: ['NACK', None] +5677770-5677770 i2cfilter: ['STOP', None] +6077897-6077977 i2cfilter: ['BITS', [[1, 6077967, 6077977], [1, 6077957, 6077967], [0, 6077947, 6077957], [1, 6077937, 6077947], [0, 6077927, 6077937], [1, 6077917, 6077927], [0, 6077907, 6077917], [0, 6077897, 6077907]]] +6077897-6077977 i2cfilter: ['ADDRESS READ', 21] +6077977-6077987 i2cfilter: ['ACK', None] +6077993-6078073 i2cfilter: ['BITS', [[0, 6078063, 6078073], [1, 6078053, 6078063], [0, 6078043, 6078053], [1, 6078033, 6078043], [1, 6078023, 6078033], [0, 6078013, 6078023], [0, 6078003, 6078013], [0, 6077993, 6078003]]] +6077993-6078073 i2cfilter: ['DATA READ', 26] +6078074-6078084 i2cfilter: ['NACK', None] +6078097-6078097 i2cfilter: ['STOP', None] +6477997-6478079 i2cfilter: ['BITS', [[1, 6478068, 6478079], [1, 6478057, 6478068], [0, 6478047, 6478057], [1, 6478037, 6478047], [0, 6478027, 6478037], [1, 6478017, 6478027], [0, 6478007, 6478017], [0, 6477997, 6478007]]] +6477997-6478079 i2cfilter: ['ADDRESS READ', 21] +6478078-6478089 i2cfilter: ['ACK', None] +6478092-6478174 i2cfilter: ['BITS', [[0, 6478163, 6478174], [1, 6478152, 6478163], [0, 6478142, 6478152], [1, 6478132, 6478142], [1, 6478122, 6478132], [0, 6478112, 6478122], [0, 6478102, 6478112], [0, 6478092, 6478102]]] +6478092-6478174 i2cfilter: ['DATA READ', 26] +6478173-6478184 i2cfilter: ['NACK', None] +6478195-6478195 i2cfilter: ['STOP', None] +6878266-6878347 i2cfilter: ['BITS', [[1, 6878337, 6878347], [1, 6878327, 6878337], [0, 6878316, 6878327], [1, 6878306, 6878316], [0, 6878296, 6878306], [1, 6878286, 6878296], [0, 6878276, 6878286], [0, 6878266, 6878276]]] +6878266-6878347 i2cfilter: ['ADDRESS READ', 21] +6878347-6878357 i2cfilter: ['ACK', None] +6878363-6878444 i2cfilter: ['BITS', [[0, 6878434, 6878444], [1, 6878424, 6878434], [0, 6878414, 6878424], [1, 6878403, 6878414], [1, 6878393, 6878403], [0, 6878383, 6878393], [0, 6878373, 6878383], [0, 6878363, 6878373]]] +6878363-6878444 i2cfilter: ['DATA READ', 26] +6878444-6878454 i2cfilter: ['NACK', None] +6878467-6878467 i2cfilter: ['STOP', None] +7278498-7278579 i2cfilter: ['BITS', [[1, 7278569, 7278579], [1, 7278559, 7278569], [0, 7278549, 7278559], [1, 7278539, 7278549], [0, 7278528, 7278539], [1, 7278518, 7278528], [0, 7278508, 7278518], [0, 7278498, 7278508]]] +7278498-7278579 i2cfilter: ['ADDRESS READ', 21] +7278579-7278589 i2cfilter: ['ACK', None] +7278596-7278677 i2cfilter: ['BITS', [[0, 7278667, 7278677], [1, 7278657, 7278667], [0, 7278647, 7278657], [1, 7278637, 7278647], [1, 7278627, 7278637], [0, 7278616, 7278627], [0, 7278606, 7278616], [0, 7278596, 7278606]]] +7278596-7278677 i2cfilter: ['DATA READ', 26] +7278677-7278687 i2cfilter: ['NACK', None] +7278702-7278702 i2cfilter: ['STOP', None] +7678761-7678842 i2cfilter: ['BITS', [[1, 7678832, 7678842], [1, 7678822, 7678832], [0, 7678812, 7678822], [1, 7678801, 7678812], [0, 7678791, 7678801], [1, 7678781, 7678791], [0, 7678771, 7678781], [0, 7678761, 7678771]]] +7678761-7678842 i2cfilter: ['ADDRESS READ', 21] +7678842-7678852 i2cfilter: ['ACK', None] +7678857-7678938 i2cfilter: ['BITS', [[0, 7678928, 7678938], [1, 7678918, 7678928], [0, 7678908, 7678918], [1, 7678898, 7678908], [1, 7678887, 7678898], [0, 7678877, 7678887], [0, 7678867, 7678877], [0, 7678857, 7678867]]] +7678857-7678938 i2cfilter: ['DATA READ', 26] +7678938-7678948 i2cfilter: ['NACK', None] +7678960-7678960 i2cfilter: ['STOP', None] +8078889-8078970 i2cfilter: ['BITS', [[1, 8078960, 8078970], [1, 8078950, 8078960], [0, 8078940, 8078950], [1, 8078930, 8078940], [0, 8078920, 8078930], [1, 8078909, 8078920], [0, 8078899, 8078909], [0, 8078889, 8078899]]] +8078889-8078970 i2cfilter: ['ADDRESS READ', 21] +8078970-8078980 i2cfilter: ['ACK', None] +8078984-8079065 i2cfilter: ['BITS', [[0, 8079055, 8079065], [1, 8079045, 8079055], [0, 8079035, 8079045], [1, 8079025, 8079035], [1, 8079015, 8079025], [0, 8079005, 8079015], [0, 8078994, 8079005], [0, 8078984, 8078994]]] +8078984-8079065 i2cfilter: ['DATA READ', 26] +8079065-8079075 i2cfilter: ['NACK', None] +8079086-8079086 i2cfilter: ['STOP', None] diff --git a/decoder/test/i2cfilter/trekstor_ebr30_a_i2c_0x15_write.python b/decoder/test/i2cfilter/trekstor_ebr30_a_i2c_0x15_write.python new file mode 100644 index 0000000..bfe0bec --- /dev/null +++ b/decoder/test/i2cfilter/trekstor_ebr30_a_i2c_0x15_write.python @@ -0,0 +1,168 @@ +74156-74156 i2cfilter: ['START', None] +74170-74252 i2cfilter: ['BITS', [[0, 74241, 74252], [1, 74230, 74241], [0, 74220, 74230], [1, 74210, 74220], [0, 74200, 74210], [1, 74190, 74200], [0, 74180, 74190], [0, 74170, 74180]]] +74170-74252 i2cfilter: ['ADDRESS WRITE', 21] +74251-74262 i2cfilter: ['ACK', None] +74267-74348 i2cfilter: ['BITS', [[0, 74338, 74348], [1, 74328, 74338], [0, 74317, 74328], [0, 74307, 74317], [0, 74297, 74307], [0, 74287, 74297], [0, 74277, 74287], [0, 74267, 74277]]] +74267-74348 i2cfilter: ['DATA WRITE', 2] +74348-74358 i2cfilter: ['ACK', None] +74371-74371 i2cfilter: ['START REPEAT', None] +474369-474369 i2cfilter: ['START', None] +474383-474464 i2cfilter: ['BITS', [[0, 474454, 474464], [1, 474444, 474454], [0, 474434, 474444], [1, 474424, 474434], [0, 474414, 474424], [1, 474404, 474414], [0, 474394, 474404], [0, 474383, 474394]]] +474383-474464 i2cfilter: ['ADDRESS WRITE', 21] +474464-474474 i2cfilter: ['ACK', None] +474478-474559 i2cfilter: ['BITS', [[0, 474549, 474559], [1, 474539, 474549], [0, 474529, 474539], [0, 474519, 474529], [0, 474509, 474519], [0, 474499, 474509], [0, 474489, 474499], [0, 474478, 474489]]] +474478-474559 i2cfilter: ['DATA WRITE', 2] +474559-474569 i2cfilter: ['ACK', None] +474581-474581 i2cfilter: ['START REPEAT', None] +874606-874606 i2cfilter: ['START', None] +874620-874701 i2cfilter: ['BITS', [[0, 874691, 874701], [1, 874681, 874691], [0, 874671, 874681], [1, 874660, 874671], [0, 874650, 874660], [1, 874640, 874650], [0, 874630, 874640], [0, 874620, 874630]]] +874620-874701 i2cfilter: ['ADDRESS WRITE', 21] +874701-874711 i2cfilter: ['ACK', None] +874716-874797 i2cfilter: ['BITS', [[0, 874787, 874797], [1, 874777, 874787], [0, 874767, 874777], [0, 874757, 874767], [0, 874746, 874757], [0, 874736, 874746], [0, 874726, 874736], [0, 874716, 874726]]] +874716-874797 i2cfilter: ['DATA WRITE', 2] +874797-874807 i2cfilter: ['ACK', None] +874819-874819 i2cfilter: ['START REPEAT', None] +1274931-1274931 i2cfilter: ['START', None] +1274957-1275038 i2cfilter: ['BITS', [[0, 1275028, 1275038], [1, 1275018, 1275028], [0, 1275008, 1275018], [1, 1274998, 1275008], [0, 1274988, 1274998], [1, 1274978, 1274988], [0, 1274968, 1274978], [0, 1274957, 1274968]]] +1274957-1275038 i2cfilter: ['ADDRESS WRITE', 21] +1275038-1275048 i2cfilter: ['ACK', None] +1275059-1275139 i2cfilter: ['BITS', [[0, 1275129, 1275139], [1, 1275119, 1275129], [0, 1275109, 1275119], [0, 1275099, 1275109], [0, 1275089, 1275099], [0, 1275079, 1275089], [0, 1275069, 1275079], [0, 1275059, 1275069]]] +1275059-1275139 i2cfilter: ['DATA WRITE', 2] +1275139-1275149 i2cfilter: ['ACK', None] +1275164-1275164 i2cfilter: ['START REPEAT', None] +1675083-1675083 i2cfilter: ['START', None] +1675104-1675186 i2cfilter: ['BITS', [[0, 1675175, 1675186], [1, 1675164, 1675175], [0, 1675154, 1675164], [1, 1675144, 1675154], [0, 1675134, 1675144], [1, 1675124, 1675134], [0, 1675114, 1675124], [0, 1675104, 1675114]]] +1675104-1675186 i2cfilter: ['ADDRESS WRITE', 21] +1675185-1675196 i2cfilter: ['ACK', None] +1675199-1675280 i2cfilter: ['BITS', [[0, 1675270, 1675280], [1, 1675260, 1675270], [0, 1675249, 1675260], [0, 1675239, 1675249], [0, 1675229, 1675239], [0, 1675219, 1675229], [0, 1675209, 1675219], [0, 1675199, 1675209]]] +1675199-1675280 i2cfilter: ['DATA WRITE', 2] +1675280-1675290 i2cfilter: ['ACK', None] +1675303-1675303 i2cfilter: ['START REPEAT', None] +2075366-2075366 i2cfilter: ['START', None] +2075380-2075461 i2cfilter: ['BITS', [[0, 2075451, 2075461], [1, 2075441, 2075451], [0, 2075431, 2075441], [1, 2075421, 2075431], [0, 2075410, 2075421], [1, 2075400, 2075410], [0, 2075390, 2075400], [0, 2075380, 2075390]]] +2075380-2075461 i2cfilter: ['ADDRESS WRITE', 21] +2075461-2075471 i2cfilter: ['ACK', None] +2075480-2075561 i2cfilter: ['BITS', [[0, 2075551, 2075561], [1, 2075541, 2075551], [0, 2075531, 2075541], [0, 2075521, 2075531], [0, 2075511, 2075521], [0, 2075501, 2075511], [0, 2075490, 2075501], [0, 2075480, 2075490]]] +2075480-2075561 i2cfilter: ['DATA WRITE', 2] +2075561-2075571 i2cfilter: ['ACK', None] +2075584-2075584 i2cfilter: ['START REPEAT', None] +2475504-2475504 i2cfilter: ['START', None] +2475520-2475602 i2cfilter: ['BITS', [[0, 2475591, 2475602], [1, 2475580, 2475591], [0, 2475570, 2475580], [1, 2475560, 2475570], [0, 2475550, 2475560], [1, 2475540, 2475550], [0, 2475530, 2475540], [0, 2475520, 2475530]]] +2475520-2475602 i2cfilter: ['ADDRESS WRITE', 21] +2475601-2475612 i2cfilter: ['ACK', None] +2475615-2475696 i2cfilter: ['BITS', [[0, 2475686, 2475696], [1, 2475676, 2475686], [0, 2475665, 2475676], [0, 2475655, 2475665], [0, 2475645, 2475655], [0, 2475635, 2475645], [0, 2475625, 2475635], [0, 2475615, 2475625]]] +2475615-2475696 i2cfilter: ['DATA WRITE', 2] +2475696-2475706 i2cfilter: ['ACK', None] +2475719-2475719 i2cfilter: ['START REPEAT', None] +2875787-2875787 i2cfilter: ['START', None] +2875812-2875893 i2cfilter: ['BITS', [[0, 2875883, 2875893], [1, 2875873, 2875883], [0, 2875863, 2875873], [1, 2875853, 2875863], [0, 2875843, 2875853], [1, 2875833, 2875843], [0, 2875822, 2875833], [0, 2875812, 2875822]]] +2875812-2875893 i2cfilter: ['ADDRESS WRITE', 21] +2875893-2875903 i2cfilter: ['ACK', None] +2875915-2875995 i2cfilter: ['BITS', [[0, 2875985, 2875995], [1, 2875975, 2875985], [0, 2875965, 2875975], [0, 2875955, 2875965], [0, 2875945, 2875955], [0, 2875935, 2875945], [0, 2875925, 2875935], [0, 2875915, 2875925]]] +2875915-2875995 i2cfilter: ['DATA WRITE', 2] +2875995-2876005 i2cfilter: ['ACK', None] +2876020-2876020 i2cfilter: ['START REPEAT', None] +3276001-3276001 i2cfilter: ['START', None] +3276032-3276113 i2cfilter: ['BITS', [[0, 3276103, 3276113], [1, 3276093, 3276103], [0, 3276083, 3276093], [1, 3276073, 3276083], [0, 3276062, 3276073], [1, 3276052, 3276062], [0, 3276042, 3276052], [0, 3276032, 3276042]]] +3276032-3276113 i2cfilter: ['ADDRESS WRITE', 21] +3276113-3276123 i2cfilter: ['ACK', None] +3276135-3276216 i2cfilter: ['BITS', [[0, 3276206, 3276216], [1, 3276196, 3276206], [0, 3276186, 3276196], [0, 3276176, 3276186], [0, 3276166, 3276176], [0, 3276156, 3276166], [0, 3276145, 3276156], [0, 3276135, 3276145]]] +3276135-3276216 i2cfilter: ['DATA WRITE', 2] +3276216-3276226 i2cfilter: ['ACK', None] +3276240-3276240 i2cfilter: ['START REPEAT', None] +3676270-3676270 i2cfilter: ['START', None] +3676286-3676368 i2cfilter: ['BITS', [[0, 3676357, 3676368], [1, 3676346, 3676357], [0, 3676336, 3676346], [1, 3676326, 3676336], [0, 3676316, 3676326], [1, 3676306, 3676316], [0, 3676296, 3676306], [0, 3676286, 3676296]]] +3676286-3676368 i2cfilter: ['ADDRESS WRITE', 21] +3676367-3676378 i2cfilter: ['ACK', None] +3676384-3676465 i2cfilter: ['BITS', [[0, 3676455, 3676465], [1, 3676445, 3676455], [0, 3676434, 3676445], [0, 3676424, 3676434], [0, 3676414, 3676424], [0, 3676404, 3676414], [0, 3676394, 3676404], [0, 3676384, 3676394]]] +3676384-3676465 i2cfilter: ['DATA WRITE', 2] +3676465-3676475 i2cfilter: ['ACK', None] +3676487-3676487 i2cfilter: ['START REPEAT', None] +4076408-4076408 i2cfilter: ['START', None] +4076424-4076505 i2cfilter: ['BITS', [[0, 4076495, 4076505], [1, 4076485, 4076495], [0, 4076475, 4076485], [1, 4076465, 4076475], [0, 4076455, 4076465], [1, 4076444, 4076455], [0, 4076434, 4076444], [0, 4076424, 4076434]]] +4076424-4076505 i2cfilter: ['ADDRESS WRITE', 21] +4076505-4076515 i2cfilter: ['ACK', None] +4076521-4076602 i2cfilter: ['BITS', [[0, 4076592, 4076602], [1, 4076582, 4076592], [0, 4076572, 4076582], [0, 4076562, 4076572], [0, 4076552, 4076562], [0, 4076542, 4076552], [0, 4076531, 4076542], [0, 4076521, 4076531]]] +4076521-4076602 i2cfilter: ['DATA WRITE', 2] +4076602-4076612 i2cfilter: ['ACK', None] +4076624-4076624 i2cfilter: ['START REPEAT', None] +4476630-4476630 i2cfilter: ['START', None] +4476644-4476724 i2cfilter: ['BITS', [[0, 4476714, 4476724], [1, 4476704, 4476714], [0, 4476694, 4476704], [1, 4476684, 4476694], [0, 4476674, 4476684], [1, 4476664, 4476674], [0, 4476654, 4476664], [0, 4476644, 4476654]]] +4476644-4476724 i2cfilter: ['ADDRESS WRITE', 21] +4476724-4476734 i2cfilter: ['ACK', None] +4476739-4476819 i2cfilter: ['BITS', [[0, 4476809, 4476819], [1, 4476799, 4476809], [0, 4476789, 4476799], [0, 4476779, 4476789], [0, 4476769, 4476779], [0, 4476759, 4476769], [0, 4476749, 4476759], [0, 4476739, 4476749]]] +4476739-4476819 i2cfilter: ['DATA WRITE', 2] +4476820-4476830 i2cfilter: ['ACK', None] +4476842-4476842 i2cfilter: ['START REPEAT', None] +4876867-4876867 i2cfilter: ['START', None] +4876882-4876963 i2cfilter: ['BITS', [[0, 4876953, 4876963], [1, 4876943, 4876953], [0, 4876933, 4876943], [1, 4876923, 4876933], [0, 4876913, 4876923], [1, 4876902, 4876913], [0, 4876892, 4876902], [0, 4876882, 4876892]]] +4876882-4876963 i2cfilter: ['ADDRESS WRITE', 21] +4876963-4876973 i2cfilter: ['ACK', None] +4876978-4877059 i2cfilter: ['BITS', [[0, 4877049, 4877059], [1, 4877039, 4877049], [0, 4877029, 4877039], [0, 4877019, 4877029], [0, 4877009, 4877019], [0, 4876998, 4877009], [0, 4876988, 4876998], [0, 4876978, 4876988]]] +4876978-4877059 i2cfilter: ['DATA WRITE', 2] +4877059-4877069 i2cfilter: ['ACK', None] +4877081-4877081 i2cfilter: ['START REPEAT', None] +5277173-5277173 i2cfilter: ['START', None] +5277199-5277280 i2cfilter: ['BITS', [[0, 5277270, 5277280], [1, 5277260, 5277270], [0, 5277249, 5277260], [1, 5277239, 5277249], [0, 5277229, 5277239], [1, 5277219, 5277229], [0, 5277209, 5277219], [0, 5277199, 5277209]]] +5277199-5277280 i2cfilter: ['ADDRESS WRITE', 21] +5277280-5277290 i2cfilter: ['ACK', None] +5277300-5277381 i2cfilter: ['BITS', [[0, 5277371, 5277381], [1, 5277361, 5277371], [0, 5277351, 5277361], [0, 5277340, 5277351], [0, 5277330, 5277340], [0, 5277320, 5277330], [0, 5277310, 5277320], [0, 5277300, 5277310]]] +5277300-5277381 i2cfilter: ['DATA WRITE', 2] +5277381-5277391 i2cfilter: ['ACK', None] +5277405-5277405 i2cfilter: ['START REPEAT', None] +5677342-5677342 i2cfilter: ['START', None] +5677357-5677438 i2cfilter: ['BITS', [[0, 5677428, 5677438], [1, 5677418, 5677428], [0, 5677408, 5677418], [1, 5677398, 5677408], [0, 5677387, 5677398], [1, 5677377, 5677387], [0, 5677367, 5677377], [0, 5677357, 5677367]]] +5677357-5677438 i2cfilter: ['ADDRESS WRITE', 21] +5677438-5677448 i2cfilter: ['ACK', None] +5677454-5677535 i2cfilter: ['BITS', [[0, 5677525, 5677535], [1, 5677515, 5677525], [0, 5677505, 5677515], [0, 5677495, 5677505], [0, 5677485, 5677495], [0, 5677474, 5677485], [0, 5677464, 5677474], [0, 5677454, 5677464]]] +5677454-5677535 i2cfilter: ['DATA WRITE', 2] +5677535-5677545 i2cfilter: ['ACK', None] +5677556-5677556 i2cfilter: ['START REPEAT', None] +6077659-6077659 i2cfilter: ['START', None] +6077682-6077763 i2cfilter: ['BITS', [[0, 6077753, 6077763], [1, 6077743, 6077753], [0, 6077733, 6077743], [1, 6077723, 6077733], [0, 6077712, 6077723], [1, 6077702, 6077712], [0, 6077692, 6077702], [0, 6077682, 6077692]]] +6077682-6077763 i2cfilter: ['ADDRESS WRITE', 21] +6077763-6077773 i2cfilter: ['ACK', None] +6077781-6077862 i2cfilter: ['BITS', [[0, 6077852, 6077862], [1, 6077842, 6077852], [0, 6077832, 6077842], [0, 6077822, 6077832], [0, 6077812, 6077822], [0, 6077801, 6077812], [0, 6077791, 6077801], [0, 6077781, 6077791]]] +6077781-6077862 i2cfilter: ['DATA WRITE', 2] +6077862-6077872 i2cfilter: ['ACK', None] +6077884-6077884 i2cfilter: ['START REPEAT', None] +6477772-6477772 i2cfilter: ['START', None] +6477786-6477867 i2cfilter: ['BITS', [[0, 6477857, 6477867], [1, 6477847, 6477857], [0, 6477837, 6477847], [1, 6477827, 6477837], [0, 6477817, 6477827], [1, 6477807, 6477817], [0, 6477796, 6477807], [0, 6477786, 6477796]]] +6477786-6477867 i2cfilter: ['ADDRESS WRITE', 21] +6477867-6477877 i2cfilter: ['ACK', None] +6477881-6477962 i2cfilter: ['BITS', [[0, 6477952, 6477962], [1, 6477942, 6477952], [0, 6477932, 6477942], [0, 6477922, 6477932], [0, 6477912, 6477922], [0, 6477902, 6477912], [0, 6477892, 6477902], [0, 6477881, 6477892]]] +6477881-6477962 i2cfilter: ['DATA WRITE', 2] +6477962-6477972 i2cfilter: ['ACK', None] +6477984-6477984 i2cfilter: ['START REPEAT', None] +6878023-6878023 i2cfilter: ['START', None] +6878046-6878127 i2cfilter: ['BITS', [[0, 6878117, 6878127], [1, 6878107, 6878117], [0, 6878097, 6878107], [1, 6878087, 6878097], [0, 6878077, 6878087], [1, 6878067, 6878077], [0, 6878056, 6878067], [0, 6878046, 6878056]]] +6878046-6878127 i2cfilter: ['ADDRESS WRITE', 21] +6878127-6878137 i2cfilter: ['ACK', None] +6878146-6878227 i2cfilter: ['BITS', [[0, 6878217, 6878227], [1, 6878207, 6878217], [0, 6878197, 6878207], [0, 6878187, 6878197], [0, 6878177, 6878187], [0, 6878167, 6878177], [0, 6878157, 6878167], [0, 6878146, 6878157]]] +6878146-6878227 i2cfilter: ['DATA WRITE', 2] +6878227-6878237 i2cfilter: ['ACK', None] +6878253-6878253 i2cfilter: ['START REPEAT', None] +7278254-7278254 i2cfilter: ['START', None] +7278281-7278361 i2cfilter: ['BITS', [[0, 7278351, 7278361], [1, 7278341, 7278351], [0, 7278331, 7278341], [1, 7278321, 7278331], [0, 7278311, 7278321], [1, 7278301, 7278311], [0, 7278291, 7278301], [0, 7278281, 7278291]]] +7278281-7278361 i2cfilter: ['ADDRESS WRITE', 21] +7278362-7278372 i2cfilter: ['ACK', None] +7278383-7278465 i2cfilter: ['BITS', [[0, 7278454, 7278465], [1, 7278443, 7278454], [0, 7278433, 7278443], [0, 7278423, 7278433], [0, 7278413, 7278423], [0, 7278403, 7278413], [0, 7278393, 7278403], [0, 7278383, 7278393]]] +7278383-7278465 i2cfilter: ['DATA WRITE', 2] +7278464-7278475 i2cfilter: ['ACK', None] +7278486-7278486 i2cfilter: ['START REPEAT', None] +7678526-7678526 i2cfilter: ['START', None] +7678547-7678627 i2cfilter: ['BITS', [[0, 7678617, 7678627], [1, 7678607, 7678617], [0, 7678597, 7678607], [1, 7678587, 7678597], [0, 7678577, 7678587], [1, 7678567, 7678577], [0, 7678557, 7678567], [0, 7678547, 7678557]]] +7678547-7678627 i2cfilter: ['ADDRESS WRITE', 21] +7678628-7678638 i2cfilter: ['ACK', None] +7678644-7678726 i2cfilter: ['BITS', [[0, 7678715, 7678726], [1, 7678704, 7678715], [0, 7678694, 7678704], [0, 7678684, 7678694], [0, 7678674, 7678684], [0, 7678664, 7678674], [0, 7678654, 7678664], [0, 7678644, 7678654]]] +7678644-7678726 i2cfilter: ['DATA WRITE', 2] +7678725-7678736 i2cfilter: ['ACK', None] +7678749-7678749 i2cfilter: ['START REPEAT', None] +8078665-8078665 i2cfilter: ['START', None] +8078679-8078760 i2cfilter: ['BITS', [[0, 8078750, 8078760], [1, 8078740, 8078750], [0, 8078729, 8078740], [1, 8078719, 8078729], [0, 8078709, 8078719], [1, 8078699, 8078709], [0, 8078689, 8078699], [0, 8078679, 8078689]]] +8078679-8078760 i2cfilter: ['ADDRESS WRITE', 21] +8078760-8078770 i2cfilter: ['ACK', None] +8078774-8078855 i2cfilter: ['BITS', [[0, 8078845, 8078855], [1, 8078835, 8078845], [0, 8078825, 8078835], [0, 8078814, 8078825], [0, 8078804, 8078814], [0, 8078794, 8078804], [0, 8078784, 8078794], [0, 8078774, 8078784]]] +8078774-8078855 i2cfilter: ['DATA WRITE', 2] +8078855-8078865 i2cfilter: ['ACK', None] +8078877-8078877 i2cfilter: ['START REPEAT', None] diff --git a/decoder/test/parallel/hd44780_word_demux.output b/decoder/test/parallel/hd44780_word_demux.output index 0fa08af..6b8431e 100644 --- a/decoder/test/parallel/hd44780_word_demux.output +++ b/decoder/test/parallel/hd44780_word_demux.output @@ -98,3 +98,5 @@ 14367902-14567897 parallel: item: "0" 14267907-14567897 parallel: word: "30" 14567897-14667893 parallel: item: "3" +14667893-15824176 parallel: item: "0" +14567897-15824176 parallel: word: "30" diff --git a/decoder/test/parallel/incremental_8ch_long_clock.output b/decoder/test/parallel/incremental_8ch_long_clock.output index 68dc3d8..7efc24a 100644 --- a/decoder/test/parallel/incremental_8ch_long_clock.output +++ b/decoder/test/parallel/incremental_8ch_long_clock.output @@ -4997,3 +4997,4 @@ 9993-9995 parallel: item: "09" 9995-9997 parallel: item: "0b" 9997-9999 parallel: item: "0d" +9999-10000 parallel: item: "0f" diff --git a/decoder/test/parallel/incremental_8ch_long_clock.python b/decoder/test/parallel/incremental_8ch_long_clock.python index 1408b44..aa01c12 100644 --- a/decoder/test/parallel/incremental_8ch_long_clock.python +++ b/decoder/test/parallel/incremental_8ch_long_clock.python @@ -4997,3 +4997,4 @@ 9993-9995 parallel: ['ITEM', (9, 8)] 9995-9997 parallel: ['ITEM', (11, 8)] 9997-9999 parallel: ['ITEM', (13, 8)] +9999-10000 parallel: ['ITEM', (15, 8)] diff --git a/decoder/test/parallel/incremental_8ch_long_noclock.output b/decoder/test/parallel/incremental_8ch_long_noclock.output index df9ad08..04f1102 100644 --- a/decoder/test/parallel/incremental_8ch_long_noclock.output +++ b/decoder/test/parallel/incremental_8ch_long_noclock.output @@ -9997,3 +9997,4 @@ 9996-9997 parallel: item: "0c" 9997-9998 parallel: item: "0d" 9998-9999 parallel: item: "0e" +9999-10000 parallel: item: "0f" diff --git a/decoder/test/parallel/incremental_8ch_long_noclock.python b/decoder/test/parallel/incremental_8ch_long_noclock.python index bb82605..8663448 100644 --- a/decoder/test/parallel/incremental_8ch_long_noclock.python +++ b/decoder/test/parallel/incremental_8ch_long_noclock.python @@ -9997,3 +9997,4 @@ 9996-9997 parallel: ['ITEM', (12, 8)] 9997-9998 parallel: ['ITEM', (13, 8)] 9998-9999 parallel: ['ITEM', (14, 8)] +9999-10000 parallel: ['ITEM', (15, 8)] diff --git a/decoder/test/parallel/incremental_8ch_short_clock.output b/decoder/test/parallel/incremental_8ch_short_clock.output index da4c56c..e7b6064 100644 --- a/decoder/test/parallel/incremental_8ch_short_clock.output +++ b/decoder/test/parallel/incremental_8ch_short_clock.output @@ -2,3 +2,4 @@ 3-5 parallel: item: "03" 5-7 parallel: item: "05" 7-9 parallel: item: "07" +9-10 parallel: item: "09" diff --git a/decoder/test/parallel/incremental_8ch_short_clock.python b/decoder/test/parallel/incremental_8ch_short_clock.python index 97c2da5..f204c25 100644 --- a/decoder/test/parallel/incremental_8ch_short_clock.python +++ b/decoder/test/parallel/incremental_8ch_short_clock.python @@ -2,3 +2,4 @@ 3-5 parallel: ['ITEM', (3, 8)] 5-7 parallel: ['ITEM', (5, 8)] 7-9 parallel: ['ITEM', (7, 8)] +9-10 parallel: ['ITEM', (9, 8)] diff --git a/decoder/test/parallel/incremental_8ch_short_noclock.output b/decoder/test/parallel/incremental_8ch_short_noclock.output index 84f0012..fde42cc 100644 --- a/decoder/test/parallel/incremental_8ch_short_noclock.output +++ b/decoder/test/parallel/incremental_8ch_short_noclock.output @@ -7,3 +7,4 @@ 6-7 parallel: item: "06" 7-8 parallel: item: "07" 8-9 parallel: item: "08" +9-10 parallel: item: "09" diff --git a/decoder/test/parallel/incremental_8ch_short_noclock.python b/decoder/test/parallel/incremental_8ch_short_noclock.python index 9168121..528eb03 100644 --- a/decoder/test/parallel/incremental_8ch_short_noclock.python +++ b/decoder/test/parallel/incremental_8ch_short_noclock.python @@ -7,3 +7,4 @@ 6-7 parallel: ['ITEM', (6, 8)] 7-8 parallel: ['ITEM', (7, 8)] 8-9 parallel: ['ITEM', (8, 8)] +9-10 parallel: ['ITEM', (9, 8)] diff --git a/decoder/test/rgb_led_ws281x/test.conf b/decoder/test/rgb_led_ws281x/test.conf index 2837e73..f0b713f 100644 --- a/decoder/test/rgb_led_ws281x/test.conf +++ b/decoder/test/rgb_led_ws281x/test.conf @@ -29,6 +29,6 @@ test ws2812b_neopixel24_4mhz_snippet output rgb_led_ws281x annotation match ws2812b_neopixel24_4mhz_snippet.output test ws281x_rgbw_4mhz_snippet - protocol-decoder rgb_led_ws281x channel din=0 option type=RGBW + protocol-decoder rgb_led_ws281x channel din=0 option wireorder=RWGB option textorder=RGWB input led/ws281x_rgbw/ws281x_RGBW_4MHz_snippet.sr output rgb_led_ws281x annotation match ws281x_RGBW_4mhz_snippet.output diff --git a/decoder/test/rgb_led_ws281x/ws2812b_neopixel24_4mhz_snippet.output b/decoder/test/rgb_led_ws281x/ws2812b_neopixel24_4mhz_snippet.output index 3b1cb80..d9cf872 100644 --- a/decoder/test/rgb_led_ws281x/ws2812b_neopixel24_4mhz_snippet.output +++ b/decoder/test/rgb_led_ws281x/ws2812b_neopixel24_4mhz_snippet.output @@ -22,6 +22,9 @@ 1767-1772 rgb_led_ws281x: bit: "0" 1772-1777 rgb_led_ws281x: bit: "0" 1777-1782 rgb_led_ws281x: bit: "0" +1662-1702 rgb_led_ws281x: g: "00" +1702-1742 rgb_led_ws281x: r: "00" +1742-1782 rgb_led_ws281x: b: "00" 1662-1782 rgb_led_ws281x: rgb: "#000000" 1782-1787 rgb_led_ws281x: bit: "0" 1787-1792 rgb_led_ws281x: bit: "0" @@ -47,6 +50,9 @@ 1887-1892 rgb_led_ws281x: bit: "0" 1892-1897 rgb_led_ws281x: bit: "0" 1897-1902 rgb_led_ws281x: bit: "0" +1782-1822 rgb_led_ws281x: g: "00" +1822-1862 rgb_led_ws281x: r: "00" +1862-1902 rgb_led_ws281x: b: "00" 1782-1902 rgb_led_ws281x: rgb: "#000000" 1902-1907 rgb_led_ws281x: bit: "0" 1907-1912 rgb_led_ws281x: bit: "0" @@ -72,6 +78,9 @@ 2007-2012 rgb_led_ws281x: bit: "0" 2012-2017 rgb_led_ws281x: bit: "0" 2017-2022 rgb_led_ws281x: bit: "0" +1902-1942 rgb_led_ws281x: g: "00" +1942-1982 rgb_led_ws281x: r: "00" +1982-2022 rgb_led_ws281x: b: "00" 1902-2022 rgb_led_ws281x: rgb: "#000000" 2022-2027 rgb_led_ws281x: bit: "0" 2027-2032 rgb_led_ws281x: bit: "0" @@ -97,6 +106,9 @@ 2127-2132 rgb_led_ws281x: bit: "0" 2132-2137 rgb_led_ws281x: bit: "0" 2137-2142 rgb_led_ws281x: bit: "0" +2022-2062 rgb_led_ws281x: g: "00" +2062-2102 rgb_led_ws281x: r: "00" +2102-2142 rgb_led_ws281x: b: "00" 2022-2142 rgb_led_ws281x: rgb: "#000000" 2142-2147 rgb_led_ws281x: bit: "0" 2147-2152 rgb_led_ws281x: bit: "0" @@ -122,6 +134,9 @@ 2247-2252 rgb_led_ws281x: bit: "0" 2252-2257 rgb_led_ws281x: bit: "0" 2257-2262 rgb_led_ws281x: bit: "0" +2142-2182 rgb_led_ws281x: g: "00" +2182-2222 rgb_led_ws281x: r: "00" +2222-2262 rgb_led_ws281x: b: "00" 2142-2262 rgb_led_ws281x: rgb: "#000000" 2262-2267 rgb_led_ws281x: bit: "0" 2267-2272 rgb_led_ws281x: bit: "0" @@ -147,6 +162,9 @@ 2367-2372 rgb_led_ws281x: bit: "0" 2372-2377 rgb_led_ws281x: bit: "0" 2377-2382 rgb_led_ws281x: bit: "0" +2262-2302 rgb_led_ws281x: g: "00" +2302-2342 rgb_led_ws281x: r: "00" +2342-2382 rgb_led_ws281x: b: "00" 2262-2382 rgb_led_ws281x: rgb: "#000000" 2382-2387 rgb_led_ws281x: bit: "0" 2387-2392 rgb_led_ws281x: bit: "0" @@ -172,6 +190,9 @@ 2487-2492 rgb_led_ws281x: bit: "0" 2492-2497 rgb_led_ws281x: bit: "0" 2497-2502 rgb_led_ws281x: bit: "1" +2382-2422 rgb_led_ws281x: g: "01" +2422-2462 rgb_led_ws281x: r: "00" +2462-2502 rgb_led_ws281x: b: "01" 2382-2502 rgb_led_ws281x: rgb: "#000101" 2502-2507 rgb_led_ws281x: bit: "0" 2507-2512 rgb_led_ws281x: bit: "0" @@ -197,6 +218,9 @@ 2607-2612 rgb_led_ws281x: bit: "0" 2612-2617 rgb_led_ws281x: bit: "1" 2617-2622 rgb_led_ws281x: bit: "1" +2502-2542 rgb_led_ws281x: g: "03" +2542-2582 rgb_led_ws281x: r: "00" +2582-2622 rgb_led_ws281x: b: "03" 2502-2622 rgb_led_ws281x: rgb: "#000303" 2622-2627 rgb_led_ws281x: bit: "0" 2627-2632 rgb_led_ws281x: bit: "0" @@ -222,6 +246,9 @@ 2727-2732 rgb_led_ws281x: bit: "1" 2732-2737 rgb_led_ws281x: bit: "1" 2737-2742 rgb_led_ws281x: bit: "1" +2622-2662 rgb_led_ws281x: g: "07" +2662-2702 rgb_led_ws281x: r: "00" +2702-2742 rgb_led_ws281x: b: "07" 2622-2742 rgb_led_ws281x: rgb: "#000707" 2742-2747 rgb_led_ws281x: bit: "0" 2747-2752 rgb_led_ws281x: bit: "0" @@ -247,6 +274,9 @@ 2847-2852 rgb_led_ws281x: bit: "1" 2852-2857 rgb_led_ws281x: bit: "0" 2857-2862 rgb_led_ws281x: bit: "1" +2742-2782 rgb_led_ws281x: g: "0e" +2782-2822 rgb_led_ws281x: r: "00" +2822-2862 rgb_led_ws281x: b: "0d" 2742-2862 rgb_led_ws281x: rgb: "#000e0d" 2862-2867 rgb_led_ws281x: bit: "0" 2867-2872 rgb_led_ws281x: bit: "0" @@ -272,6 +302,9 @@ 2967-2972 rgb_led_ws281x: bit: "1" 2972-2977 rgb_led_ws281x: bit: "1" 2977-2982 rgb_led_ws281x: bit: "0" +2862-2902 rgb_led_ws281x: g: "17" +2902-2942 rgb_led_ws281x: r: "00" +2942-2982 rgb_led_ws281x: b: "16" 2862-2982 rgb_led_ws281x: rgb: "#001716" 2982-2987 rgb_led_ws281x: bit: "0" 2987-2992 rgb_led_ws281x: bit: "0" @@ -297,6 +330,9 @@ 3087-3092 rgb_led_ws281x: bit: "0" 3092-3097 rgb_led_ws281x: bit: "0" 3097-3102 rgb_led_ws281x: bit: "1" +2982-3022 rgb_led_ws281x: g: "23" +3022-3062 rgb_led_ws281x: r: "00" +3062-3102 rgb_led_ws281x: b: "21" 2982-3102 rgb_led_ws281x: rgb: "#002321" 3102-3107 rgb_led_ws281x: bit: "0" 3107-3112 rgb_led_ws281x: bit: "0" @@ -322,6 +358,9 @@ 3207-3212 rgb_led_ws281x: bit: "1" 3212-3217 rgb_led_ws281x: bit: "1" 3217-3222 rgb_led_ws281x: bit: "0" +3102-3142 rgb_led_ws281x: g: "31" +3142-3182 rgb_led_ws281x: r: "00" +3182-3222 rgb_led_ws281x: b: "2e" 3102-3222 rgb_led_ws281x: rgb: "#00312e" 3222-3227 rgb_led_ws281x: bit: "0" 3227-3232 rgb_led_ws281x: bit: "0" @@ -347,6 +386,9 @@ 3327-3332 rgb_led_ws281x: bit: "0" 3332-3337 rgb_led_ws281x: bit: "0" 3337-3342 rgb_led_ws281x: bit: "0" +3222-3262 rgb_led_ws281x: g: "00" +3262-3302 rgb_led_ws281x: r: "00" +3302-3342 rgb_led_ws281x: b: "00" 3222-3342 rgb_led_ws281x: rgb: "#000000" 3342-3347 rgb_led_ws281x: bit: "0" 3347-3352 rgb_led_ws281x: bit: "0" @@ -372,6 +414,9 @@ 3447-3452 rgb_led_ws281x: bit: "0" 3452-3457 rgb_led_ws281x: bit: "0" 3457-3462 rgb_led_ws281x: bit: "0" +3342-3382 rgb_led_ws281x: g: "00" +3382-3422 rgb_led_ws281x: r: "00" +3422-3462 rgb_led_ws281x: b: "00" 3342-3462 rgb_led_ws281x: rgb: "#000000" 3462-3467 rgb_led_ws281x: bit: "0" 3467-3472 rgb_led_ws281x: bit: "0" @@ -397,6 +442,9 @@ 3567-3572 rgb_led_ws281x: bit: "0" 3572-3577 rgb_led_ws281x: bit: "0" 3577-3582 rgb_led_ws281x: bit: "0" +3462-3502 rgb_led_ws281x: g: "00" +3502-3542 rgb_led_ws281x: r: "00" +3542-3582 rgb_led_ws281x: b: "00" 3462-3582 rgb_led_ws281x: rgb: "#000000" 3582-3587 rgb_led_ws281x: bit: "0" 3587-3592 rgb_led_ws281x: bit: "0" @@ -422,6 +470,9 @@ 3687-3692 rgb_led_ws281x: bit: "0" 3692-3697 rgb_led_ws281x: bit: "0" 3697-3702 rgb_led_ws281x: bit: "0" +3582-3622 rgb_led_ws281x: g: "00" +3622-3662 rgb_led_ws281x: r: "00" +3662-3702 rgb_led_ws281x: b: "00" 3582-3702 rgb_led_ws281x: rgb: "#000000" 3702-3707 rgb_led_ws281x: bit: "0" 3707-3712 rgb_led_ws281x: bit: "0" @@ -447,6 +498,9 @@ 3807-3812 rgb_led_ws281x: bit: "0" 3812-3817 rgb_led_ws281x: bit: "0" 3817-3822 rgb_led_ws281x: bit: "0" +3702-3742 rgb_led_ws281x: g: "00" +3742-3782 rgb_led_ws281x: r: "00" +3782-3822 rgb_led_ws281x: b: "00" 3702-3822 rgb_led_ws281x: rgb: "#000000" 3822-3827 rgb_led_ws281x: bit: "0" 3827-3832 rgb_led_ws281x: bit: "0" @@ -472,6 +526,9 @@ 3927-3932 rgb_led_ws281x: bit: "0" 3932-3937 rgb_led_ws281x: bit: "0" 3937-3942 rgb_led_ws281x: bit: "0" +3822-3862 rgb_led_ws281x: g: "00" +3862-3902 rgb_led_ws281x: r: "00" +3902-3942 rgb_led_ws281x: b: "00" 3822-3942 rgb_led_ws281x: rgb: "#000000" 3942-3947 rgb_led_ws281x: bit: "0" 3947-3952 rgb_led_ws281x: bit: "0" @@ -497,6 +554,9 @@ 4047-4052 rgb_led_ws281x: bit: "0" 4052-4057 rgb_led_ws281x: bit: "0" 4057-4062 rgb_led_ws281x: bit: "0" +3942-3982 rgb_led_ws281x: g: "00" +3982-4022 rgb_led_ws281x: r: "00" +4022-4062 rgb_led_ws281x: b: "00" 3942-4062 rgb_led_ws281x: rgb: "#000000" 4062-4067 rgb_led_ws281x: bit: "0" 4067-4072 rgb_led_ws281x: bit: "0" @@ -522,6 +582,9 @@ 4167-4172 rgb_led_ws281x: bit: "0" 4172-4177 rgb_led_ws281x: bit: "0" 4177-4182 rgb_led_ws281x: bit: "0" +4062-4102 rgb_led_ws281x: g: "00" +4102-4142 rgb_led_ws281x: r: "00" +4142-4182 rgb_led_ws281x: b: "00" 4062-4182 rgb_led_ws281x: rgb: "#000000" 4182-4187 rgb_led_ws281x: bit: "0" 4187-4192 rgb_led_ws281x: bit: "0" @@ -547,6 +610,9 @@ 4287-4292 rgb_led_ws281x: bit: "0" 4292-4297 rgb_led_ws281x: bit: "0" 4297-4302 rgb_led_ws281x: bit: "0" +4182-4222 rgb_led_ws281x: g: "00" +4222-4262 rgb_led_ws281x: r: "00" +4262-4302 rgb_led_ws281x: b: "00" 4182-4302 rgb_led_ws281x: rgb: "#000000" 4302-4307 rgb_led_ws281x: bit: "0" 4307-4312 rgb_led_ws281x: bit: "0" @@ -572,6 +638,9 @@ 4407-4412 rgb_led_ws281x: bit: "0" 4412-4417 rgb_led_ws281x: bit: "0" 4417-4422 rgb_led_ws281x: bit: "0" +4302-4342 rgb_led_ws281x: g: "00" +4342-4382 rgb_led_ws281x: r: "00" +4382-4422 rgb_led_ws281x: b: "00" 4302-4422 rgb_led_ws281x: rgb: "#000000" 4422-4427 rgb_led_ws281x: bit: "0" 4427-4432 rgb_led_ws281x: bit: "0" @@ -596,6 +665,9 @@ 4522-4527 rgb_led_ws281x: bit: "0" 4527-4532 rgb_led_ws281x: bit: "0" 4532-4537 rgb_led_ws281x: bit: "0" +4422-4462 rgb_led_ws281x: g: "00" +4462-4502 rgb_led_ws281x: r: "00" +4502-4538 rgb_led_ws281x: b: "00" 4422-4538 rgb_led_ws281x: rgb: "#000000" 4537-4538 rgb_led_ws281x: bit: "0" 4538-4739 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -623,6 +695,9 @@ 8577-8582 rgb_led_ws281x: bit: "0" 8582-8587 rgb_led_ws281x: bit: "0" 8587-8592 rgb_led_ws281x: bit: "0" +8472-8512 rgb_led_ws281x: g: "00" +8512-8552 rgb_led_ws281x: r: "00" +8552-8592 rgb_led_ws281x: b: "00" 8472-8592 rgb_led_ws281x: rgb: "#000000" 8592-8597 rgb_led_ws281x: bit: "0" 8597-8602 rgb_led_ws281x: bit: "0" @@ -648,6 +723,9 @@ 8697-8702 rgb_led_ws281x: bit: "0" 8702-8707 rgb_led_ws281x: bit: "0" 8707-8712 rgb_led_ws281x: bit: "0" +8592-8632 rgb_led_ws281x: g: "00" +8632-8672 rgb_led_ws281x: r: "00" +8672-8712 rgb_led_ws281x: b: "00" 8592-8712 rgb_led_ws281x: rgb: "#000000" 8712-8717 rgb_led_ws281x: bit: "0" 8717-8722 rgb_led_ws281x: bit: "0" @@ -673,6 +751,9 @@ 8817-8822 rgb_led_ws281x: bit: "0" 8822-8827 rgb_led_ws281x: bit: "0" 8827-8832 rgb_led_ws281x: bit: "0" +8712-8752 rgb_led_ws281x: g: "00" +8752-8792 rgb_led_ws281x: r: "00" +8792-8832 rgb_led_ws281x: b: "00" 8712-8832 rgb_led_ws281x: rgb: "#000000" 8832-8837 rgb_led_ws281x: bit: "0" 8837-8842 rgb_led_ws281x: bit: "0" @@ -698,6 +779,9 @@ 8937-8942 rgb_led_ws281x: bit: "0" 8942-8947 rgb_led_ws281x: bit: "0" 8947-8952 rgb_led_ws281x: bit: "0" +8832-8872 rgb_led_ws281x: g: "00" +8872-8912 rgb_led_ws281x: r: "00" +8912-8952 rgb_led_ws281x: b: "00" 8832-8952 rgb_led_ws281x: rgb: "#000000" 8952-8957 rgb_led_ws281x: bit: "0" 8957-8962 rgb_led_ws281x: bit: "0" @@ -723,6 +807,9 @@ 9057-9062 rgb_led_ws281x: bit: "0" 9062-9067 rgb_led_ws281x: bit: "0" 9067-9072 rgb_led_ws281x: bit: "0" +8952-8992 rgb_led_ws281x: g: "00" +8992-9032 rgb_led_ws281x: r: "00" +9032-9072 rgb_led_ws281x: b: "00" 8952-9072 rgb_led_ws281x: rgb: "#000000" 9072-9077 rgb_led_ws281x: bit: "0" 9077-9082 rgb_led_ws281x: bit: "0" @@ -748,6 +835,9 @@ 9177-9182 rgb_led_ws281x: bit: "0" 9182-9187 rgb_led_ws281x: bit: "0" 9187-9192 rgb_led_ws281x: bit: "0" +9072-9112 rgb_led_ws281x: g: "00" +9112-9152 rgb_led_ws281x: r: "00" +9152-9192 rgb_led_ws281x: b: "00" 9072-9192 rgb_led_ws281x: rgb: "#000000" 9192-9197 rgb_led_ws281x: bit: "0" 9197-9202 rgb_led_ws281x: bit: "0" @@ -773,6 +863,9 @@ 9297-9302 rgb_led_ws281x: bit: "0" 9302-9307 rgb_led_ws281x: bit: "0" 9307-9312 rgb_led_ws281x: bit: "0" +9192-9232 rgb_led_ws281x: g: "01" +9232-9272 rgb_led_ws281x: r: "00" +9272-9312 rgb_led_ws281x: b: "00" 9192-9312 rgb_led_ws281x: rgb: "#000100" 9312-9317 rgb_led_ws281x: bit: "0" 9317-9322 rgb_led_ws281x: bit: "0" @@ -798,6 +891,9 @@ 9417-9422 rgb_led_ws281x: bit: "0" 9422-9427 rgb_led_ws281x: bit: "1" 9427-9432 rgb_led_ws281x: bit: "1" +9312-9352 rgb_led_ws281x: g: "03" +9352-9392 rgb_led_ws281x: r: "00" +9392-9432 rgb_led_ws281x: b: "03" 9312-9432 rgb_led_ws281x: rgb: "#000303" 9432-9437 rgb_led_ws281x: bit: "0" 9437-9442 rgb_led_ws281x: bit: "0" @@ -823,6 +919,9 @@ 9537-9542 rgb_led_ws281x: bit: "1" 9542-9547 rgb_led_ws281x: bit: "1" 9547-9552 rgb_led_ws281x: bit: "1" +9432-9472 rgb_led_ws281x: g: "07" +9472-9512 rgb_led_ws281x: r: "00" +9512-9552 rgb_led_ws281x: b: "07" 9432-9552 rgb_led_ws281x: rgb: "#000707" 9552-9557 rgb_led_ws281x: bit: "0" 9557-9562 rgb_led_ws281x: bit: "0" @@ -848,6 +947,9 @@ 9657-9662 rgb_led_ws281x: bit: "1" 9662-9667 rgb_led_ws281x: bit: "0" 9667-9672 rgb_led_ws281x: bit: "1" +9552-9592 rgb_led_ws281x: g: "0e" +9592-9632 rgb_led_ws281x: r: "00" +9632-9672 rgb_led_ws281x: b: "0d" 9552-9672 rgb_led_ws281x: rgb: "#000e0d" 9672-9677 rgb_led_ws281x: bit: "0" 9677-9682 rgb_led_ws281x: bit: "0" @@ -873,6 +975,9 @@ 9777-9782 rgb_led_ws281x: bit: "1" 9782-9787 rgb_led_ws281x: bit: "0" 9787-9792 rgb_led_ws281x: bit: "1" +9672-9712 rgb_led_ws281x: g: "16" +9712-9752 rgb_led_ws281x: r: "00" +9752-9792 rgb_led_ws281x: b: "15" 9672-9792 rgb_led_ws281x: rgb: "#001615" 9792-9797 rgb_led_ws281x: bit: "0" 9797-9802 rgb_led_ws281x: bit: "0" @@ -898,6 +1003,9 @@ 9897-9902 rgb_led_ws281x: bit: "0" 9902-9907 rgb_led_ws281x: bit: "0" 9907-9912 rgb_led_ws281x: bit: "0" +9792-9832 rgb_led_ws281x: g: "22" +9832-9872 rgb_led_ws281x: r: "00" +9872-9912 rgb_led_ws281x: b: "20" 9792-9912 rgb_led_ws281x: rgb: "#002220" 9912-9917 rgb_led_ws281x: bit: "0" 9917-9922 rgb_led_ws281x: bit: "0" @@ -923,6 +1031,9 @@ 10017-10022 rgb_led_ws281x: bit: "1" 10022-10027 rgb_led_ws281x: bit: "0" 10027-10032 rgb_led_ws281x: bit: "1" +9912-9952 rgb_led_ws281x: g: "31" +9952-9992 rgb_led_ws281x: r: "00" +9992-10032 rgb_led_ws281x: b: "2d" 9912-10032 rgb_led_ws281x: rgb: "#00312d" 10032-10037 rgb_led_ws281x: bit: "0" 10037-10042 rgb_led_ws281x: bit: "0" @@ -948,6 +1059,9 @@ 10137-10142 rgb_led_ws281x: bit: "0" 10142-10147 rgb_led_ws281x: bit: "0" 10147-10152 rgb_led_ws281x: bit: "0" +10032-10072 rgb_led_ws281x: g: "00" +10072-10112 rgb_led_ws281x: r: "00" +10112-10152 rgb_led_ws281x: b: "00" 10032-10152 rgb_led_ws281x: rgb: "#000000" 10152-10157 rgb_led_ws281x: bit: "0" 10157-10162 rgb_led_ws281x: bit: "0" @@ -973,6 +1087,9 @@ 10257-10262 rgb_led_ws281x: bit: "0" 10262-10267 rgb_led_ws281x: bit: "0" 10267-10272 rgb_led_ws281x: bit: "0" +10152-10192 rgb_led_ws281x: g: "00" +10192-10232 rgb_led_ws281x: r: "00" +10232-10272 rgb_led_ws281x: b: "00" 10152-10272 rgb_led_ws281x: rgb: "#000000" 10272-10277 rgb_led_ws281x: bit: "0" 10277-10282 rgb_led_ws281x: bit: "0" @@ -998,6 +1115,9 @@ 10377-10382 rgb_led_ws281x: bit: "0" 10382-10387 rgb_led_ws281x: bit: "0" 10387-10392 rgb_led_ws281x: bit: "0" +10272-10312 rgb_led_ws281x: g: "00" +10312-10352 rgb_led_ws281x: r: "00" +10352-10392 rgb_led_ws281x: b: "00" 10272-10392 rgb_led_ws281x: rgb: "#000000" 10392-10397 rgb_led_ws281x: bit: "0" 10397-10402 rgb_led_ws281x: bit: "0" @@ -1023,6 +1143,9 @@ 10497-10502 rgb_led_ws281x: bit: "0" 10502-10507 rgb_led_ws281x: bit: "0" 10507-10512 rgb_led_ws281x: bit: "0" +10392-10432 rgb_led_ws281x: g: "00" +10432-10472 rgb_led_ws281x: r: "00" +10472-10512 rgb_led_ws281x: b: "00" 10392-10512 rgb_led_ws281x: rgb: "#000000" 10512-10517 rgb_led_ws281x: bit: "0" 10517-10522 rgb_led_ws281x: bit: "0" @@ -1048,6 +1171,9 @@ 10617-10622 rgb_led_ws281x: bit: "0" 10622-10627 rgb_led_ws281x: bit: "0" 10627-10632 rgb_led_ws281x: bit: "0" +10512-10552 rgb_led_ws281x: g: "00" +10552-10592 rgb_led_ws281x: r: "00" +10592-10632 rgb_led_ws281x: b: "00" 10512-10632 rgb_led_ws281x: rgb: "#000000" 10632-10637 rgb_led_ws281x: bit: "0" 10637-10642 rgb_led_ws281x: bit: "0" @@ -1073,6 +1199,9 @@ 10737-10742 rgb_led_ws281x: bit: "0" 10742-10747 rgb_led_ws281x: bit: "0" 10747-10752 rgb_led_ws281x: bit: "0" +10632-10672 rgb_led_ws281x: g: "00" +10672-10712 rgb_led_ws281x: r: "00" +10712-10752 rgb_led_ws281x: b: "00" 10632-10752 rgb_led_ws281x: rgb: "#000000" 10752-10757 rgb_led_ws281x: bit: "0" 10757-10762 rgb_led_ws281x: bit: "0" @@ -1098,6 +1227,9 @@ 10857-10862 rgb_led_ws281x: bit: "0" 10862-10867 rgb_led_ws281x: bit: "0" 10867-10872 rgb_led_ws281x: bit: "0" +10752-10792 rgb_led_ws281x: g: "00" +10792-10832 rgb_led_ws281x: r: "00" +10832-10872 rgb_led_ws281x: b: "00" 10752-10872 rgb_led_ws281x: rgb: "#000000" 10872-10877 rgb_led_ws281x: bit: "0" 10877-10882 rgb_led_ws281x: bit: "0" @@ -1123,6 +1255,9 @@ 10977-10982 rgb_led_ws281x: bit: "0" 10982-10987 rgb_led_ws281x: bit: "0" 10987-10992 rgb_led_ws281x: bit: "0" +10872-10912 rgb_led_ws281x: g: "00" +10912-10952 rgb_led_ws281x: r: "00" +10952-10992 rgb_led_ws281x: b: "00" 10872-10992 rgb_led_ws281x: rgb: "#000000" 10992-10997 rgb_led_ws281x: bit: "0" 10997-11002 rgb_led_ws281x: bit: "0" @@ -1148,6 +1283,9 @@ 11097-11102 rgb_led_ws281x: bit: "0" 11102-11107 rgb_led_ws281x: bit: "0" 11107-11112 rgb_led_ws281x: bit: "0" +10992-11032 rgb_led_ws281x: g: "00" +11032-11072 rgb_led_ws281x: r: "00" +11072-11112 rgb_led_ws281x: b: "00" 10992-11112 rgb_led_ws281x: rgb: "#000000" 11112-11117 rgb_led_ws281x: bit: "0" 11117-11122 rgb_led_ws281x: bit: "0" @@ -1173,6 +1311,9 @@ 11217-11222 rgb_led_ws281x: bit: "0" 11222-11227 rgb_led_ws281x: bit: "0" 11227-11232 rgb_led_ws281x: bit: "0" +11112-11152 rgb_led_ws281x: g: "00" +11152-11192 rgb_led_ws281x: r: "00" +11192-11232 rgb_led_ws281x: b: "00" 11112-11232 rgb_led_ws281x: rgb: "#000000" 11232-11237 rgb_led_ws281x: bit: "0" 11237-11242 rgb_led_ws281x: bit: "0" @@ -1197,6 +1338,9 @@ 11332-11337 rgb_led_ws281x: bit: "0" 11337-11342 rgb_led_ws281x: bit: "0" 11342-11347 rgb_led_ws281x: bit: "0" +11232-11272 rgb_led_ws281x: g: "00" +11272-11312 rgb_led_ws281x: r: "00" +11312-11348 rgb_led_ws281x: b: "00" 11232-11348 rgb_led_ws281x: rgb: "#000000" 11347-11348 rgb_led_ws281x: bit: "0" 11348-11549 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -1224,6 +1368,9 @@ 15360-15365 rgb_led_ws281x: bit: "0" 15365-15370 rgb_led_ws281x: bit: "0" 15370-15375 rgb_led_ws281x: bit: "0" +15255-15295 rgb_led_ws281x: g: "00" +15295-15335 rgb_led_ws281x: r: "00" +15335-15375 rgb_led_ws281x: b: "00" 15255-15375 rgb_led_ws281x: rgb: "#000000" 15375-15380 rgb_led_ws281x: bit: "0" 15380-15385 rgb_led_ws281x: bit: "0" @@ -1249,6 +1396,9 @@ 15480-15485 rgb_led_ws281x: bit: "0" 15485-15490 rgb_led_ws281x: bit: "0" 15490-15495 rgb_led_ws281x: bit: "0" +15375-15415 rgb_led_ws281x: g: "00" +15415-15455 rgb_led_ws281x: r: "00" +15455-15495 rgb_led_ws281x: b: "00" 15375-15495 rgb_led_ws281x: rgb: "#000000" 15495-15500 rgb_led_ws281x: bit: "0" 15500-15505 rgb_led_ws281x: bit: "0" @@ -1274,6 +1424,9 @@ 15600-15605 rgb_led_ws281x: bit: "0" 15605-15610 rgb_led_ws281x: bit: "0" 15610-15615 rgb_led_ws281x: bit: "0" +15495-15535 rgb_led_ws281x: g: "00" +15535-15575 rgb_led_ws281x: r: "00" +15575-15615 rgb_led_ws281x: b: "00" 15495-15615 rgb_led_ws281x: rgb: "#000000" 15615-15620 rgb_led_ws281x: bit: "0" 15620-15625 rgb_led_ws281x: bit: "0" @@ -1299,6 +1452,9 @@ 15720-15725 rgb_led_ws281x: bit: "0" 15725-15730 rgb_led_ws281x: bit: "0" 15730-15735 rgb_led_ws281x: bit: "0" +15615-15655 rgb_led_ws281x: g: "00" +15655-15695 rgb_led_ws281x: r: "00" +15695-15735 rgb_led_ws281x: b: "00" 15615-15735 rgb_led_ws281x: rgb: "#000000" 15735-15740 rgb_led_ws281x: bit: "0" 15740-15745 rgb_led_ws281x: bit: "0" @@ -1324,6 +1480,9 @@ 15840-15845 rgb_led_ws281x: bit: "0" 15845-15850 rgb_led_ws281x: bit: "0" 15850-15855 rgb_led_ws281x: bit: "0" +15735-15775 rgb_led_ws281x: g: "00" +15775-15815 rgb_led_ws281x: r: "00" +15815-15855 rgb_led_ws281x: b: "00" 15735-15855 rgb_led_ws281x: rgb: "#000000" 15855-15860 rgb_led_ws281x: bit: "0" 15860-15865 rgb_led_ws281x: bit: "0" @@ -1349,6 +1508,9 @@ 15960-15965 rgb_led_ws281x: bit: "0" 15965-15970 rgb_led_ws281x: bit: "0" 15970-15975 rgb_led_ws281x: bit: "0" +15855-15895 rgb_led_ws281x: g: "00" +15895-15935 rgb_led_ws281x: r: "00" +15935-15975 rgb_led_ws281x: b: "00" 15855-15975 rgb_led_ws281x: rgb: "#000000" 15975-15980 rgb_led_ws281x: bit: "0" 15980-15985 rgb_led_ws281x: bit: "0" @@ -1374,6 +1536,9 @@ 16080-16085 rgb_led_ws281x: bit: "0" 16085-16090 rgb_led_ws281x: bit: "0" 16090-16095 rgb_led_ws281x: bit: "0" +15975-16015 rgb_led_ws281x: g: "00" +16015-16055 rgb_led_ws281x: r: "00" +16055-16095 rgb_led_ws281x: b: "00" 15975-16095 rgb_led_ws281x: rgb: "#000000" 16095-16100 rgb_led_ws281x: bit: "0" 16100-16105 rgb_led_ws281x: bit: "0" @@ -1399,6 +1564,9 @@ 16200-16205 rgb_led_ws281x: bit: "0" 16205-16210 rgb_led_ws281x: bit: "1" 16210-16215 rgb_led_ws281x: bit: "0" +16095-16135 rgb_led_ws281x: g: "03" +16135-16175 rgb_led_ws281x: r: "00" +16175-16215 rgb_led_ws281x: b: "02" 16095-16215 rgb_led_ws281x: rgb: "#000302" 16215-16220 rgb_led_ws281x: bit: "0" 16220-16225 rgb_led_ws281x: bit: "0" @@ -1424,6 +1592,9 @@ 16320-16325 rgb_led_ws281x: bit: "1" 16325-16330 rgb_led_ws281x: bit: "1" 16330-16335 rgb_led_ws281x: bit: "0" +16215-16255 rgb_led_ws281x: g: "07" +16255-16295 rgb_led_ws281x: r: "00" +16295-16335 rgb_led_ws281x: b: "06" 16215-16335 rgb_led_ws281x: rgb: "#000706" 16335-16340 rgb_led_ws281x: bit: "0" 16340-16345 rgb_led_ws281x: bit: "0" @@ -1449,6 +1620,9 @@ 16440-16445 rgb_led_ws281x: bit: "1" 16445-16450 rgb_led_ws281x: bit: "0" 16450-16455 rgb_led_ws281x: bit: "0" +16335-16375 rgb_led_ws281x: g: "0d" +16375-16415 rgb_led_ws281x: r: "00" +16415-16455 rgb_led_ws281x: b: "0c" 16335-16455 rgb_led_ws281x: rgb: "#000d0c" 16455-16460 rgb_led_ws281x: bit: "0" 16460-16465 rgb_led_ws281x: bit: "0" @@ -1474,6 +1648,9 @@ 16560-16565 rgb_led_ws281x: bit: "1" 16565-16570 rgb_led_ws281x: bit: "0" 16570-16575 rgb_led_ws281x: bit: "0" +16455-16495 rgb_led_ws281x: g: "16" +16495-16535 rgb_led_ws281x: r: "00" +16535-16575 rgb_led_ws281x: b: "14" 16455-16575 rgb_led_ws281x: rgb: "#001614" 16575-16580 rgb_led_ws281x: bit: "0" 16580-16585 rgb_led_ws281x: bit: "0" @@ -1499,6 +1676,9 @@ 16680-16685 rgb_led_ws281x: bit: "1" 16685-16690 rgb_led_ws281x: bit: "1" 16690-16695 rgb_led_ws281x: bit: "1" +16575-16615 rgb_led_ws281x: g: "21" +16615-16655 rgb_led_ws281x: r: "00" +16655-16695 rgb_led_ws281x: b: "1f" 16575-16695 rgb_led_ws281x: rgb: "#00211f" 16695-16700 rgb_led_ws281x: bit: "0" 16700-16705 rgb_led_ws281x: bit: "0" @@ -1524,6 +1704,9 @@ 16800-16805 rgb_led_ws281x: bit: "1" 16805-16810 rgb_led_ws281x: bit: "0" 16810-16815 rgb_led_ws281x: bit: "0" +16695-16735 rgb_led_ws281x: g: "30" +16735-16775 rgb_led_ws281x: r: "00" +16775-16815 rgb_led_ws281x: b: "2c" 16695-16815 rgb_led_ws281x: rgb: "#00302c" 16815-16820 rgb_led_ws281x: bit: "0" 16820-16825 rgb_led_ws281x: bit: "0" @@ -1549,6 +1732,9 @@ 16920-16925 rgb_led_ws281x: bit: "0" 16925-16930 rgb_led_ws281x: bit: "0" 16930-16935 rgb_led_ws281x: bit: "0" +16815-16855 rgb_led_ws281x: g: "00" +16855-16895 rgb_led_ws281x: r: "00" +16895-16935 rgb_led_ws281x: b: "00" 16815-16935 rgb_led_ws281x: rgb: "#000000" 16935-16940 rgb_led_ws281x: bit: "0" 16940-16945 rgb_led_ws281x: bit: "0" @@ -1574,6 +1760,9 @@ 17040-17045 rgb_led_ws281x: bit: "0" 17045-17050 rgb_led_ws281x: bit: "0" 17050-17055 rgb_led_ws281x: bit: "0" +16935-16975 rgb_led_ws281x: g: "00" +16975-17015 rgb_led_ws281x: r: "00" +17015-17055 rgb_led_ws281x: b: "00" 16935-17055 rgb_led_ws281x: rgb: "#000000" 17055-17060 rgb_led_ws281x: bit: "0" 17060-17065 rgb_led_ws281x: bit: "0" @@ -1599,6 +1788,9 @@ 17160-17165 rgb_led_ws281x: bit: "0" 17165-17170 rgb_led_ws281x: bit: "0" 17170-17175 rgb_led_ws281x: bit: "0" +17055-17095 rgb_led_ws281x: g: "00" +17095-17135 rgb_led_ws281x: r: "00" +17135-17175 rgb_led_ws281x: b: "00" 17055-17175 rgb_led_ws281x: rgb: "#000000" 17175-17180 rgb_led_ws281x: bit: "0" 17180-17185 rgb_led_ws281x: bit: "0" @@ -1624,6 +1816,9 @@ 17280-17285 rgb_led_ws281x: bit: "0" 17285-17290 rgb_led_ws281x: bit: "0" 17290-17295 rgb_led_ws281x: bit: "0" +17175-17215 rgb_led_ws281x: g: "00" +17215-17255 rgb_led_ws281x: r: "00" +17255-17295 rgb_led_ws281x: b: "00" 17175-17295 rgb_led_ws281x: rgb: "#000000" 17295-17300 rgb_led_ws281x: bit: "0" 17300-17305 rgb_led_ws281x: bit: "0" @@ -1649,6 +1844,9 @@ 17400-17405 rgb_led_ws281x: bit: "0" 17405-17410 rgb_led_ws281x: bit: "0" 17410-17415 rgb_led_ws281x: bit: "0" +17295-17335 rgb_led_ws281x: g: "00" +17335-17375 rgb_led_ws281x: r: "00" +17375-17415 rgb_led_ws281x: b: "00" 17295-17415 rgb_led_ws281x: rgb: "#000000" 17415-17420 rgb_led_ws281x: bit: "0" 17420-17425 rgb_led_ws281x: bit: "0" @@ -1674,6 +1872,9 @@ 17519-17524 rgb_led_ws281x: bit: "0" 17524-17529 rgb_led_ws281x: bit: "0" 17529-17534 rgb_led_ws281x: bit: "0" +17415-17455 rgb_led_ws281x: g: "00" +17455-17494 rgb_led_ws281x: r: "00" +17494-17534 rgb_led_ws281x: b: "00" 17415-17534 rgb_led_ws281x: rgb: "#000000" 17534-17539 rgb_led_ws281x: bit: "0" 17539-17544 rgb_led_ws281x: bit: "0" @@ -1699,6 +1900,9 @@ 17639-17644 rgb_led_ws281x: bit: "0" 17644-17649 rgb_led_ws281x: bit: "0" 17649-17654 rgb_led_ws281x: bit: "0" +17534-17574 rgb_led_ws281x: g: "00" +17574-17614 rgb_led_ws281x: r: "00" +17614-17654 rgb_led_ws281x: b: "00" 17534-17654 rgb_led_ws281x: rgb: "#000000" 17654-17659 rgb_led_ws281x: bit: "0" 17659-17664 rgb_led_ws281x: bit: "0" @@ -1724,6 +1928,9 @@ 17759-17764 rgb_led_ws281x: bit: "0" 17764-17769 rgb_led_ws281x: bit: "0" 17769-17774 rgb_led_ws281x: bit: "0" +17654-17694 rgb_led_ws281x: g: "00" +17694-17734 rgb_led_ws281x: r: "00" +17734-17774 rgb_led_ws281x: b: "00" 17654-17774 rgb_led_ws281x: rgb: "#000000" 17774-17779 rgb_led_ws281x: bit: "0" 17779-17784 rgb_led_ws281x: bit: "0" @@ -1749,6 +1956,9 @@ 17879-17884 rgb_led_ws281x: bit: "0" 17884-17889 rgb_led_ws281x: bit: "0" 17889-17894 rgb_led_ws281x: bit: "0" +17774-17814 rgb_led_ws281x: g: "00" +17814-17854 rgb_led_ws281x: r: "00" +17854-17894 rgb_led_ws281x: b: "00" 17774-17894 rgb_led_ws281x: rgb: "#000000" 17894-17899 rgb_led_ws281x: bit: "0" 17899-17904 rgb_led_ws281x: bit: "0" @@ -1774,6 +1984,9 @@ 17999-18004 rgb_led_ws281x: bit: "0" 18004-18009 rgb_led_ws281x: bit: "0" 18009-18014 rgb_led_ws281x: bit: "0" +17894-17934 rgb_led_ws281x: g: "00" +17934-17974 rgb_led_ws281x: r: "00" +17974-18014 rgb_led_ws281x: b: "00" 17894-18014 rgb_led_ws281x: rgb: "#000000" 18014-18019 rgb_led_ws281x: bit: "0" 18019-18024 rgb_led_ws281x: bit: "0" @@ -1798,6 +2011,9 @@ 18114-18119 rgb_led_ws281x: bit: "0" 18119-18124 rgb_led_ws281x: bit: "0" 18124-18129 rgb_led_ws281x: bit: "0" +18014-18054 rgb_led_ws281x: g: "00" +18054-18094 rgb_led_ws281x: r: "00" +18094-18131 rgb_led_ws281x: b: "00" 18014-18131 rgb_led_ws281x: rgb: "#000000" 18129-18131 rgb_led_ws281x: bit: "0" 18131-18332 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -1825,6 +2041,9 @@ 22159-22164 rgb_led_ws281x: bit: "0" 22164-22169 rgb_led_ws281x: bit: "0" 22169-22174 rgb_led_ws281x: bit: "0" +22054-22094 rgb_led_ws281x: g: "00" +22094-22134 rgb_led_ws281x: r: "00" +22134-22174 rgb_led_ws281x: b: "00" 22054-22174 rgb_led_ws281x: rgb: "#000000" 22174-22179 rgb_led_ws281x: bit: "0" 22179-22184 rgb_led_ws281x: bit: "0" @@ -1850,6 +2069,9 @@ 22279-22284 rgb_led_ws281x: bit: "0" 22284-22289 rgb_led_ws281x: bit: "0" 22289-22294 rgb_led_ws281x: bit: "0" +22174-22214 rgb_led_ws281x: g: "00" +22214-22254 rgb_led_ws281x: r: "00" +22254-22294 rgb_led_ws281x: b: "00" 22174-22294 rgb_led_ws281x: rgb: "#000000" 22294-22299 rgb_led_ws281x: bit: "0" 22299-22304 rgb_led_ws281x: bit: "0" @@ -1875,6 +2097,9 @@ 22399-22404 rgb_led_ws281x: bit: "0" 22404-22409 rgb_led_ws281x: bit: "0" 22409-22414 rgb_led_ws281x: bit: "0" +22294-22334 rgb_led_ws281x: g: "00" +22334-22374 rgb_led_ws281x: r: "00" +22374-22414 rgb_led_ws281x: b: "00" 22294-22414 rgb_led_ws281x: rgb: "#000000" 22414-22419 rgb_led_ws281x: bit: "0" 22419-22424 rgb_led_ws281x: bit: "0" @@ -1900,6 +2125,9 @@ 22519-22524 rgb_led_ws281x: bit: "0" 22524-22529 rgb_led_ws281x: bit: "0" 22529-22534 rgb_led_ws281x: bit: "0" +22414-22454 rgb_led_ws281x: g: "00" +22454-22494 rgb_led_ws281x: r: "00" +22494-22534 rgb_led_ws281x: b: "00" 22414-22534 rgb_led_ws281x: rgb: "#000000" 22534-22539 rgb_led_ws281x: bit: "0" 22539-22544 rgb_led_ws281x: bit: "0" @@ -1925,6 +2153,9 @@ 22639-22644 rgb_led_ws281x: bit: "0" 22644-22649 rgb_led_ws281x: bit: "0" 22649-22654 rgb_led_ws281x: bit: "0" +22534-22574 rgb_led_ws281x: g: "00" +22574-22614 rgb_led_ws281x: r: "00" +22614-22654 rgb_led_ws281x: b: "00" 22534-22654 rgb_led_ws281x: rgb: "#000000" 22654-22659 rgb_led_ws281x: bit: "0" 22659-22664 rgb_led_ws281x: bit: "0" @@ -1950,6 +2181,9 @@ 22759-22764 rgb_led_ws281x: bit: "0" 22764-22769 rgb_led_ws281x: bit: "0" 22769-22774 rgb_led_ws281x: bit: "0" +22654-22694 rgb_led_ws281x: g: "00" +22694-22734 rgb_led_ws281x: r: "00" +22734-22774 rgb_led_ws281x: b: "00" 22654-22774 rgb_led_ws281x: rgb: "#000000" 22774-22779 rgb_led_ws281x: bit: "0" 22779-22784 rgb_led_ws281x: bit: "0" @@ -1975,6 +2209,9 @@ 22879-22884 rgb_led_ws281x: bit: "0" 22884-22889 rgb_led_ws281x: bit: "0" 22889-22894 rgb_led_ws281x: bit: "0" +22774-22814 rgb_led_ws281x: g: "00" +22814-22854 rgb_led_ws281x: r: "00" +22854-22894 rgb_led_ws281x: b: "00" 22774-22894 rgb_led_ws281x: rgb: "#000000" 22894-22899 rgb_led_ws281x: bit: "0" 22899-22904 rgb_led_ws281x: bit: "0" @@ -2000,6 +2237,9 @@ 22999-23004 rgb_led_ws281x: bit: "0" 23004-23009 rgb_led_ws281x: bit: "1" 23009-23014 rgb_led_ws281x: bit: "0" +22894-22934 rgb_led_ws281x: g: "03" +22934-22974 rgb_led_ws281x: r: "00" +22974-23014 rgb_led_ws281x: b: "02" 22894-23014 rgb_led_ws281x: rgb: "#000302" 23014-23019 rgb_led_ws281x: bit: "0" 23019-23024 rgb_led_ws281x: bit: "0" @@ -2025,6 +2265,9 @@ 23119-23124 rgb_led_ws281x: bit: "1" 23124-23129 rgb_led_ws281x: bit: "1" 23129-23134 rgb_led_ws281x: bit: "0" +23014-23054 rgb_led_ws281x: g: "07" +23054-23094 rgb_led_ws281x: r: "00" +23094-23134 rgb_led_ws281x: b: "06" 23014-23134 rgb_led_ws281x: rgb: "#000706" 23134-23139 rgb_led_ws281x: bit: "0" 23139-23144 rgb_led_ws281x: bit: "0" @@ -2050,6 +2293,9 @@ 23239-23244 rgb_led_ws281x: bit: "1" 23244-23249 rgb_led_ws281x: bit: "0" 23249-23254 rgb_led_ws281x: bit: "0" +23134-23174 rgb_led_ws281x: g: "0d" +23174-23214 rgb_led_ws281x: r: "00" +23214-23254 rgb_led_ws281x: b: "0c" 23134-23254 rgb_led_ws281x: rgb: "#000d0c" 23254-23259 rgb_led_ws281x: bit: "0" 23259-23264 rgb_led_ws281x: bit: "0" @@ -2075,6 +2321,9 @@ 23359-23364 rgb_led_ws281x: bit: "1" 23364-23369 rgb_led_ws281x: bit: "0" 23369-23374 rgb_led_ws281x: bit: "0" +23254-23294 rgb_led_ws281x: g: "16" +23294-23334 rgb_led_ws281x: r: "00" +23334-23374 rgb_led_ws281x: b: "14" 23254-23374 rgb_led_ws281x: rgb: "#001614" 23374-23379 rgb_led_ws281x: bit: "0" 23379-23384 rgb_led_ws281x: bit: "0" @@ -2100,6 +2349,9 @@ 23479-23484 rgb_led_ws281x: bit: "1" 23484-23489 rgb_led_ws281x: bit: "1" 23489-23494 rgb_led_ws281x: bit: "1" +23374-23414 rgb_led_ws281x: g: "21" +23414-23454 rgb_led_ws281x: r: "00" +23454-23494 rgb_led_ws281x: b: "1f" 23374-23494 rgb_led_ws281x: rgb: "#00211f" 23494-23499 rgb_led_ws281x: bit: "0" 23499-23504 rgb_led_ws281x: bit: "0" @@ -2125,6 +2377,9 @@ 23599-23604 rgb_led_ws281x: bit: "1" 23604-23609 rgb_led_ws281x: bit: "0" 23609-23614 rgb_led_ws281x: bit: "0" +23494-23534 rgb_led_ws281x: g: "2f" +23534-23574 rgb_led_ws281x: r: "00" +23574-23614 rgb_led_ws281x: b: "2c" 23494-23614 rgb_led_ws281x: rgb: "#002f2c" 23614-23619 rgb_led_ws281x: bit: "0" 23619-23624 rgb_led_ws281x: bit: "0" @@ -2150,6 +2405,9 @@ 23719-23724 rgb_led_ws281x: bit: "0" 23724-23729 rgb_led_ws281x: bit: "0" 23729-23734 rgb_led_ws281x: bit: "0" +23614-23654 rgb_led_ws281x: g: "00" +23654-23694 rgb_led_ws281x: r: "00" +23694-23734 rgb_led_ws281x: b: "00" 23614-23734 rgb_led_ws281x: rgb: "#000000" 23734-23739 rgb_led_ws281x: bit: "0" 23739-23744 rgb_led_ws281x: bit: "0" @@ -2175,6 +2433,9 @@ 23839-23844 rgb_led_ws281x: bit: "0" 23844-23849 rgb_led_ws281x: bit: "0" 23849-23854 rgb_led_ws281x: bit: "0" +23734-23774 rgb_led_ws281x: g: "00" +23774-23814 rgb_led_ws281x: r: "00" +23814-23854 rgb_led_ws281x: b: "00" 23734-23854 rgb_led_ws281x: rgb: "#000000" 23854-23859 rgb_led_ws281x: bit: "0" 23859-23864 rgb_led_ws281x: bit: "0" @@ -2200,6 +2461,9 @@ 23959-23964 rgb_led_ws281x: bit: "0" 23964-23969 rgb_led_ws281x: bit: "0" 23969-23974 rgb_led_ws281x: bit: "0" +23854-23894 rgb_led_ws281x: g: "00" +23894-23934 rgb_led_ws281x: r: "00" +23934-23974 rgb_led_ws281x: b: "00" 23854-23974 rgb_led_ws281x: rgb: "#000000" 23974-23979 rgb_led_ws281x: bit: "0" 23979-23984 rgb_led_ws281x: bit: "0" @@ -2225,6 +2489,9 @@ 24079-24084 rgb_led_ws281x: bit: "0" 24084-24089 rgb_led_ws281x: bit: "0" 24089-24094 rgb_led_ws281x: bit: "0" +23974-24014 rgb_led_ws281x: g: "00" +24014-24054 rgb_led_ws281x: r: "00" +24054-24094 rgb_led_ws281x: b: "00" 23974-24094 rgb_led_ws281x: rgb: "#000000" 24094-24099 rgb_led_ws281x: bit: "0" 24099-24104 rgb_led_ws281x: bit: "0" @@ -2250,6 +2517,9 @@ 24199-24204 rgb_led_ws281x: bit: "0" 24204-24209 rgb_led_ws281x: bit: "0" 24209-24214 rgb_led_ws281x: bit: "0" +24094-24134 rgb_led_ws281x: g: "00" +24134-24174 rgb_led_ws281x: r: "00" +24174-24214 rgb_led_ws281x: b: "00" 24094-24214 rgb_led_ws281x: rgb: "#000000" 24214-24219 rgb_led_ws281x: bit: "0" 24219-24224 rgb_led_ws281x: bit: "0" @@ -2275,6 +2545,9 @@ 24319-24324 rgb_led_ws281x: bit: "0" 24324-24329 rgb_led_ws281x: bit: "0" 24329-24334 rgb_led_ws281x: bit: "0" +24214-24254 rgb_led_ws281x: g: "00" +24254-24294 rgb_led_ws281x: r: "00" +24294-24334 rgb_led_ws281x: b: "00" 24214-24334 rgb_led_ws281x: rgb: "#000000" 24334-24339 rgb_led_ws281x: bit: "0" 24339-24344 rgb_led_ws281x: bit: "0" @@ -2300,6 +2573,9 @@ 24439-24444 rgb_led_ws281x: bit: "0" 24444-24449 rgb_led_ws281x: bit: "0" 24449-24454 rgb_led_ws281x: bit: "0" +24334-24374 rgb_led_ws281x: g: "00" +24374-24414 rgb_led_ws281x: r: "00" +24414-24454 rgb_led_ws281x: b: "00" 24334-24454 rgb_led_ws281x: rgb: "#000000" 24454-24459 rgb_led_ws281x: bit: "0" 24459-24464 rgb_led_ws281x: bit: "0" @@ -2325,6 +2601,9 @@ 24559-24564 rgb_led_ws281x: bit: "0" 24564-24569 rgb_led_ws281x: bit: "0" 24569-24574 rgb_led_ws281x: bit: "0" +24454-24494 rgb_led_ws281x: g: "00" +24494-24534 rgb_led_ws281x: r: "00" +24534-24574 rgb_led_ws281x: b: "00" 24454-24574 rgb_led_ws281x: rgb: "#000000" 24574-24579 rgb_led_ws281x: bit: "0" 24579-24584 rgb_led_ws281x: bit: "0" @@ -2350,6 +2629,9 @@ 24679-24684 rgb_led_ws281x: bit: "0" 24684-24689 rgb_led_ws281x: bit: "0" 24689-24694 rgb_led_ws281x: bit: "0" +24574-24614 rgb_led_ws281x: g: "00" +24614-24654 rgb_led_ws281x: r: "00" +24654-24694 rgb_led_ws281x: b: "00" 24574-24694 rgb_led_ws281x: rgb: "#000000" 24694-24699 rgb_led_ws281x: bit: "0" 24699-24704 rgb_led_ws281x: bit: "0" @@ -2375,6 +2657,9 @@ 24799-24804 rgb_led_ws281x: bit: "0" 24804-24809 rgb_led_ws281x: bit: "0" 24809-24814 rgb_led_ws281x: bit: "0" +24694-24734 rgb_led_ws281x: g: "00" +24734-24774 rgb_led_ws281x: r: "00" +24774-24814 rgb_led_ws281x: b: "00" 24694-24814 rgb_led_ws281x: rgb: "#000000" 24814-24819 rgb_led_ws281x: bit: "0" 24819-24824 rgb_led_ws281x: bit: "0" @@ -2399,6 +2684,9 @@ 24914-24919 rgb_led_ws281x: bit: "0" 24919-24924 rgb_led_ws281x: bit: "0" 24924-24929 rgb_led_ws281x: bit: "0" +24814-24854 rgb_led_ws281x: g: "00" +24854-24894 rgb_led_ws281x: r: "00" +24894-24930 rgb_led_ws281x: b: "00" 24814-24930 rgb_led_ws281x: rgb: "#000000" 24929-24930 rgb_led_ws281x: bit: "0" 24930-25131 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -2426,6 +2714,9 @@ 28953-28958 rgb_led_ws281x: bit: "0" 28958-28963 rgb_led_ws281x: bit: "0" 28963-28968 rgb_led_ws281x: bit: "0" +28848-28888 rgb_led_ws281x: g: "00" +28888-28928 rgb_led_ws281x: r: "00" +28928-28968 rgb_led_ws281x: b: "00" 28848-28968 rgb_led_ws281x: rgb: "#000000" 28968-28973 rgb_led_ws281x: bit: "0" 28973-28978 rgb_led_ws281x: bit: "0" @@ -2451,6 +2742,9 @@ 29073-29078 rgb_led_ws281x: bit: "0" 29078-29083 rgb_led_ws281x: bit: "0" 29083-29088 rgb_led_ws281x: bit: "0" +28968-29008 rgb_led_ws281x: g: "00" +29008-29048 rgb_led_ws281x: r: "00" +29048-29088 rgb_led_ws281x: b: "00" 28968-29088 rgb_led_ws281x: rgb: "#000000" 29088-29093 rgb_led_ws281x: bit: "0" 29093-29098 rgb_led_ws281x: bit: "0" @@ -2476,6 +2770,9 @@ 29193-29198 rgb_led_ws281x: bit: "0" 29198-29203 rgb_led_ws281x: bit: "0" 29203-29208 rgb_led_ws281x: bit: "0" +29088-29128 rgb_led_ws281x: g: "00" +29128-29168 rgb_led_ws281x: r: "00" +29168-29208 rgb_led_ws281x: b: "00" 29088-29208 rgb_led_ws281x: rgb: "#000000" 29208-29213 rgb_led_ws281x: bit: "0" 29213-29218 rgb_led_ws281x: bit: "0" @@ -2501,6 +2798,9 @@ 29313-29318 rgb_led_ws281x: bit: "0" 29318-29323 rgb_led_ws281x: bit: "0" 29323-29328 rgb_led_ws281x: bit: "0" +29208-29248 rgb_led_ws281x: g: "00" +29248-29288 rgb_led_ws281x: r: "00" +29288-29328 rgb_led_ws281x: b: "00" 29208-29328 rgb_led_ws281x: rgb: "#000000" 29328-29333 rgb_led_ws281x: bit: "0" 29333-29338 rgb_led_ws281x: bit: "0" @@ -2526,6 +2826,9 @@ 29432-29437 rgb_led_ws281x: bit: "0" 29437-29442 rgb_led_ws281x: bit: "0" 29442-29447 rgb_led_ws281x: bit: "0" +29328-29368 rgb_led_ws281x: g: "00" +29368-29407 rgb_led_ws281x: r: "00" +29407-29447 rgb_led_ws281x: b: "00" 29328-29447 rgb_led_ws281x: rgb: "#000000" 29447-29452 rgb_led_ws281x: bit: "0" 29452-29457 rgb_led_ws281x: bit: "0" @@ -2551,6 +2854,9 @@ 29552-29557 rgb_led_ws281x: bit: "0" 29557-29562 rgb_led_ws281x: bit: "0" 29562-29567 rgb_led_ws281x: bit: "0" +29447-29487 rgb_led_ws281x: g: "00" +29487-29527 rgb_led_ws281x: r: "00" +29527-29567 rgb_led_ws281x: b: "00" 29447-29567 rgb_led_ws281x: rgb: "#000000" 29567-29572 rgb_led_ws281x: bit: "0" 29572-29577 rgb_led_ws281x: bit: "0" @@ -2576,6 +2882,9 @@ 29672-29677 rgb_led_ws281x: bit: "0" 29677-29682 rgb_led_ws281x: bit: "0" 29682-29687 rgb_led_ws281x: bit: "0" +29567-29607 rgb_led_ws281x: g: "00" +29607-29647 rgb_led_ws281x: r: "00" +29647-29687 rgb_led_ws281x: b: "00" 29567-29687 rgb_led_ws281x: rgb: "#000000" 29687-29692 rgb_led_ws281x: bit: "0" 29692-29697 rgb_led_ws281x: bit: "0" @@ -2601,6 +2910,9 @@ 29792-29797 rgb_led_ws281x: bit: "0" 29797-29802 rgb_led_ws281x: bit: "1" 29802-29807 rgb_led_ws281x: bit: "0" +29687-29727 rgb_led_ws281x: g: "02" +29727-29767 rgb_led_ws281x: r: "00" +29767-29807 rgb_led_ws281x: b: "02" 29687-29807 rgb_led_ws281x: rgb: "#000202" 29807-29812 rgb_led_ws281x: bit: "0" 29812-29817 rgb_led_ws281x: bit: "0" @@ -2626,6 +2938,9 @@ 29912-29917 rgb_led_ws281x: bit: "1" 29917-29922 rgb_led_ws281x: bit: "1" 29922-29927 rgb_led_ws281x: bit: "0" +29807-29847 rgb_led_ws281x: g: "06" +29847-29887 rgb_led_ws281x: r: "00" +29887-29927 rgb_led_ws281x: b: "06" 29807-29927 rgb_led_ws281x: rgb: "#000606" 29927-29932 rgb_led_ws281x: bit: "0" 29932-29937 rgb_led_ws281x: bit: "0" @@ -2651,6 +2966,9 @@ 30032-30037 rgb_led_ws281x: bit: "1" 30037-30042 rgb_led_ws281x: bit: "0" 30042-30047 rgb_led_ws281x: bit: "0" +29927-29967 rgb_led_ws281x: g: "0d" +29967-30007 rgb_led_ws281x: r: "00" +30007-30047 rgb_led_ws281x: b: "0c" 29927-30047 rgb_led_ws281x: rgb: "#000d0c" 30047-30052 rgb_led_ws281x: bit: "0" 30052-30057 rgb_led_ws281x: bit: "0" @@ -2676,6 +2994,9 @@ 30152-30157 rgb_led_ws281x: bit: "1" 30157-30162 rgb_led_ws281x: bit: "0" 30162-30167 rgb_led_ws281x: bit: "0" +30047-30087 rgb_led_ws281x: g: "15" +30087-30127 rgb_led_ws281x: r: "00" +30127-30167 rgb_led_ws281x: b: "14" 30047-30167 rgb_led_ws281x: rgb: "#001514" 30167-30172 rgb_led_ws281x: bit: "0" 30172-30177 rgb_led_ws281x: bit: "0" @@ -2701,6 +3022,9 @@ 30272-30277 rgb_led_ws281x: bit: "1" 30277-30282 rgb_led_ws281x: bit: "1" 30282-30287 rgb_led_ws281x: bit: "0" +30167-30207 rgb_led_ws281x: g: "21" +30207-30247 rgb_led_ws281x: r: "00" +30247-30287 rgb_led_ws281x: b: "1e" 30167-30287 rgb_led_ws281x: rgb: "#00211e" 30287-30292 rgb_led_ws281x: bit: "0" 30292-30297 rgb_led_ws281x: bit: "0" @@ -2726,6 +3050,9 @@ 30392-30397 rgb_led_ws281x: bit: "0" 30397-30402 rgb_led_ws281x: bit: "1" 30402-30407 rgb_led_ws281x: bit: "1" +30287-30327 rgb_led_ws281x: g: "2e" +30327-30367 rgb_led_ws281x: r: "00" +30367-30407 rgb_led_ws281x: b: "2b" 30287-30407 rgb_led_ws281x: rgb: "#002e2b" 30407-30412 rgb_led_ws281x: bit: "0" 30412-30417 rgb_led_ws281x: bit: "0" @@ -2751,6 +3078,9 @@ 30512-30517 rgb_led_ws281x: bit: "0" 30517-30522 rgb_led_ws281x: bit: "0" 30522-30527 rgb_led_ws281x: bit: "0" +30407-30447 rgb_led_ws281x: g: "00" +30447-30487 rgb_led_ws281x: r: "00" +30487-30527 rgb_led_ws281x: b: "00" 30407-30527 rgb_led_ws281x: rgb: "#000000" 30527-30532 rgb_led_ws281x: bit: "0" 30532-30537 rgb_led_ws281x: bit: "0" @@ -2776,6 +3106,9 @@ 30632-30637 rgb_led_ws281x: bit: "0" 30637-30642 rgb_led_ws281x: bit: "0" 30642-30647 rgb_led_ws281x: bit: "0" +30527-30567 rgb_led_ws281x: g: "00" +30567-30607 rgb_led_ws281x: r: "00" +30607-30647 rgb_led_ws281x: b: "00" 30527-30647 rgb_led_ws281x: rgb: "#000000" 30647-30652 rgb_led_ws281x: bit: "0" 30652-30657 rgb_led_ws281x: bit: "0" @@ -2801,6 +3134,9 @@ 30752-30757 rgb_led_ws281x: bit: "0" 30757-30762 rgb_led_ws281x: bit: "0" 30762-30767 rgb_led_ws281x: bit: "0" +30647-30687 rgb_led_ws281x: g: "00" +30687-30727 rgb_led_ws281x: r: "00" +30727-30767 rgb_led_ws281x: b: "00" 30647-30767 rgb_led_ws281x: rgb: "#000000" 30767-30772 rgb_led_ws281x: bit: "0" 30772-30777 rgb_led_ws281x: bit: "0" @@ -2826,6 +3162,9 @@ 30872-30877 rgb_led_ws281x: bit: "0" 30877-30882 rgb_led_ws281x: bit: "0" 30882-30887 rgb_led_ws281x: bit: "0" +30767-30807 rgb_led_ws281x: g: "00" +30807-30847 rgb_led_ws281x: r: "00" +30847-30887 rgb_led_ws281x: b: "00" 30767-30887 rgb_led_ws281x: rgb: "#000000" 30887-30892 rgb_led_ws281x: bit: "0" 30892-30897 rgb_led_ws281x: bit: "0" @@ -2851,6 +3190,9 @@ 30992-30997 rgb_led_ws281x: bit: "0" 30997-31002 rgb_led_ws281x: bit: "0" 31002-31007 rgb_led_ws281x: bit: "0" +30887-30927 rgb_led_ws281x: g: "00" +30927-30967 rgb_led_ws281x: r: "00" +30967-31007 rgb_led_ws281x: b: "00" 30887-31007 rgb_led_ws281x: rgb: "#000000" 31007-31012 rgb_led_ws281x: bit: "0" 31012-31017 rgb_led_ws281x: bit: "0" @@ -2876,6 +3218,9 @@ 31112-31117 rgb_led_ws281x: bit: "0" 31117-31122 rgb_led_ws281x: bit: "0" 31122-31127 rgb_led_ws281x: bit: "0" +31007-31047 rgb_led_ws281x: g: "00" +31047-31087 rgb_led_ws281x: r: "00" +31087-31127 rgb_led_ws281x: b: "00" 31007-31127 rgb_led_ws281x: rgb: "#000000" 31127-31132 rgb_led_ws281x: bit: "0" 31132-31137 rgb_led_ws281x: bit: "0" @@ -2901,6 +3246,9 @@ 31232-31237 rgb_led_ws281x: bit: "0" 31237-31242 rgb_led_ws281x: bit: "0" 31242-31247 rgb_led_ws281x: bit: "0" +31127-31167 rgb_led_ws281x: g: "00" +31167-31207 rgb_led_ws281x: r: "00" +31207-31247 rgb_led_ws281x: b: "00" 31127-31247 rgb_led_ws281x: rgb: "#000000" 31247-31252 rgb_led_ws281x: bit: "0" 31252-31257 rgb_led_ws281x: bit: "0" @@ -2926,6 +3274,9 @@ 31352-31357 rgb_led_ws281x: bit: "0" 31357-31362 rgb_led_ws281x: bit: "0" 31362-31367 rgb_led_ws281x: bit: "0" +31247-31287 rgb_led_ws281x: g: "00" +31287-31327 rgb_led_ws281x: r: "00" +31327-31367 rgb_led_ws281x: b: "00" 31247-31367 rgb_led_ws281x: rgb: "#000000" 31367-31372 rgb_led_ws281x: bit: "0" 31372-31377 rgb_led_ws281x: bit: "0" @@ -2951,6 +3302,9 @@ 31472-31477 rgb_led_ws281x: bit: "0" 31477-31482 rgb_led_ws281x: bit: "0" 31482-31487 rgb_led_ws281x: bit: "0" +31367-31407 rgb_led_ws281x: g: "00" +31407-31447 rgb_led_ws281x: r: "00" +31447-31487 rgb_led_ws281x: b: "00" 31367-31487 rgb_led_ws281x: rgb: "#000000" 31487-31492 rgb_led_ws281x: bit: "0" 31492-31497 rgb_led_ws281x: bit: "0" @@ -2976,6 +3330,9 @@ 31592-31597 rgb_led_ws281x: bit: "0" 31597-31602 rgb_led_ws281x: bit: "0" 31602-31607 rgb_led_ws281x: bit: "0" +31487-31527 rgb_led_ws281x: g: "00" +31527-31567 rgb_led_ws281x: r: "00" +31567-31607 rgb_led_ws281x: b: "00" 31487-31607 rgb_led_ws281x: rgb: "#000000" 31607-31612 rgb_led_ws281x: bit: "0" 31612-31617 rgb_led_ws281x: bit: "0" @@ -3000,6 +3357,9 @@ 31707-31712 rgb_led_ws281x: bit: "0" 31712-31717 rgb_led_ws281x: bit: "0" 31717-31722 rgb_led_ws281x: bit: "0" +31607-31647 rgb_led_ws281x: g: "00" +31647-31687 rgb_led_ws281x: r: "00" +31687-31723 rgb_led_ws281x: b: "00" 31607-31723 rgb_led_ws281x: rgb: "#000000" 31722-31723 rgb_led_ws281x: bit: "0" 31723-31924 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -3027,6 +3387,9 @@ 35724-35729 rgb_led_ws281x: bit: "0" 35729-35734 rgb_led_ws281x: bit: "0" 35734-35739 rgb_led_ws281x: bit: "0" +35619-35659 rgb_led_ws281x: g: "00" +35659-35699 rgb_led_ws281x: r: "00" +35699-35739 rgb_led_ws281x: b: "00" 35619-35739 rgb_led_ws281x: rgb: "#000000" 35739-35744 rgb_led_ws281x: bit: "0" 35744-35749 rgb_led_ws281x: bit: "0" @@ -3052,6 +3415,9 @@ 35844-35849 rgb_led_ws281x: bit: "0" 35849-35854 rgb_led_ws281x: bit: "0" 35854-35859 rgb_led_ws281x: bit: "0" +35739-35779 rgb_led_ws281x: g: "00" +35779-35819 rgb_led_ws281x: r: "00" +35819-35859 rgb_led_ws281x: b: "00" 35739-35859 rgb_led_ws281x: rgb: "#000000" 35859-35864 rgb_led_ws281x: bit: "0" 35864-35869 rgb_led_ws281x: bit: "0" @@ -3077,6 +3443,9 @@ 35964-35969 rgb_led_ws281x: bit: "0" 35969-35974 rgb_led_ws281x: bit: "0" 35974-35979 rgb_led_ws281x: bit: "0" +35859-35899 rgb_led_ws281x: g: "00" +35899-35939 rgb_led_ws281x: r: "00" +35939-35979 rgb_led_ws281x: b: "00" 35859-35979 rgb_led_ws281x: rgb: "#000000" 35979-35984 rgb_led_ws281x: bit: "0" 35984-35989 rgb_led_ws281x: bit: "0" @@ -3102,6 +3471,9 @@ 36084-36089 rgb_led_ws281x: bit: "0" 36089-36094 rgb_led_ws281x: bit: "0" 36094-36099 rgb_led_ws281x: bit: "0" +35979-36019 rgb_led_ws281x: g: "00" +36019-36059 rgb_led_ws281x: r: "00" +36059-36099 rgb_led_ws281x: b: "00" 35979-36099 rgb_led_ws281x: rgb: "#000000" 36099-36104 rgb_led_ws281x: bit: "0" 36104-36109 rgb_led_ws281x: bit: "0" @@ -3127,6 +3499,9 @@ 36204-36209 rgb_led_ws281x: bit: "0" 36209-36214 rgb_led_ws281x: bit: "0" 36214-36219 rgb_led_ws281x: bit: "0" +36099-36139 rgb_led_ws281x: g: "00" +36139-36179 rgb_led_ws281x: r: "00" +36179-36219 rgb_led_ws281x: b: "00" 36099-36219 rgb_led_ws281x: rgb: "#000000" 36219-36224 rgb_led_ws281x: bit: "0" 36224-36229 rgb_led_ws281x: bit: "0" @@ -3152,6 +3527,9 @@ 36324-36329 rgb_led_ws281x: bit: "0" 36329-36334 rgb_led_ws281x: bit: "0" 36334-36339 rgb_led_ws281x: bit: "0" +36219-36259 rgb_led_ws281x: g: "00" +36259-36299 rgb_led_ws281x: r: "00" +36299-36339 rgb_led_ws281x: b: "00" 36219-36339 rgb_led_ws281x: rgb: "#000000" 36339-36344 rgb_led_ws281x: bit: "0" 36344-36349 rgb_led_ws281x: bit: "0" @@ -3177,6 +3555,9 @@ 36444-36449 rgb_led_ws281x: bit: "0" 36449-36454 rgb_led_ws281x: bit: "0" 36454-36459 rgb_led_ws281x: bit: "0" +36339-36379 rgb_led_ws281x: g: "00" +36379-36419 rgb_led_ws281x: r: "00" +36419-36459 rgb_led_ws281x: b: "00" 36339-36459 rgb_led_ws281x: rgb: "#000000" 36459-36464 rgb_led_ws281x: bit: "0" 36464-36469 rgb_led_ws281x: bit: "0" @@ -3202,6 +3583,9 @@ 36564-36569 rgb_led_ws281x: bit: "0" 36569-36574 rgb_led_ws281x: bit: "1" 36574-36579 rgb_led_ws281x: bit: "0" +36459-36499 rgb_led_ws281x: g: "02" +36499-36539 rgb_led_ws281x: r: "00" +36539-36579 rgb_led_ws281x: b: "02" 36459-36579 rgb_led_ws281x: rgb: "#000202" 36579-36584 rgb_led_ws281x: bit: "0" 36584-36589 rgb_led_ws281x: bit: "0" @@ -3227,6 +3611,9 @@ 36684-36689 rgb_led_ws281x: bit: "1" 36689-36694 rgb_led_ws281x: bit: "1" 36694-36699 rgb_led_ws281x: bit: "0" +36579-36619 rgb_led_ws281x: g: "06" +36619-36659 rgb_led_ws281x: r: "00" +36659-36699 rgb_led_ws281x: b: "06" 36579-36699 rgb_led_ws281x: rgb: "#000606" 36699-36704 rgb_led_ws281x: bit: "0" 36704-36709 rgb_led_ws281x: bit: "0" @@ -3252,6 +3639,9 @@ 36804-36809 rgb_led_ws281x: bit: "0" 36809-36814 rgb_led_ws281x: bit: "1" 36814-36819 rgb_led_ws281x: bit: "1" +36699-36739 rgb_led_ws281x: g: "0c" +36739-36779 rgb_led_ws281x: r: "00" +36779-36819 rgb_led_ws281x: b: "0b" 36699-36819 rgb_led_ws281x: rgb: "#000c0b" 36819-36824 rgb_led_ws281x: bit: "0" 36824-36829 rgb_led_ws281x: bit: "0" @@ -3277,6 +3667,9 @@ 36924-36929 rgb_led_ws281x: bit: "0" 36929-36934 rgb_led_ws281x: bit: "1" 36934-36939 rgb_led_ws281x: bit: "1" +36819-36859 rgb_led_ws281x: g: "14" +36859-36899 rgb_led_ws281x: r: "00" +36899-36939 rgb_led_ws281x: b: "13" 36819-36939 rgb_led_ws281x: rgb: "#001413" 36939-36944 rgb_led_ws281x: bit: "0" 36944-36949 rgb_led_ws281x: bit: "0" @@ -3302,6 +3695,9 @@ 37044-37049 rgb_led_ws281x: bit: "1" 37049-37054 rgb_led_ws281x: bit: "1" 37054-37059 rgb_led_ws281x: bit: "0" +36939-36979 rgb_led_ws281x: g: "20" +36979-37019 rgb_led_ws281x: r: "00" +37019-37059 rgb_led_ws281x: b: "1e" 36939-37059 rgb_led_ws281x: rgb: "#00201e" 37059-37064 rgb_led_ws281x: bit: "0" 37064-37068 rgb_led_ws281x: bit: "0" @@ -3327,6 +3723,9 @@ 37163-37168 rgb_led_ws281x: bit: "0" 37168-37173 rgb_led_ws281x: bit: "1" 37173-37178 rgb_led_ws281x: bit: "1" +37059-37098 rgb_led_ws281x: g: "2e" +37098-37138 rgb_led_ws281x: r: "00" +37138-37178 rgb_led_ws281x: b: "2b" 37059-37178 rgb_led_ws281x: rgb: "#002e2b" 37178-37183 rgb_led_ws281x: bit: "0" 37183-37188 rgb_led_ws281x: bit: "0" @@ -3352,6 +3751,9 @@ 37283-37288 rgb_led_ws281x: bit: "0" 37288-37293 rgb_led_ws281x: bit: "0" 37293-37298 rgb_led_ws281x: bit: "0" +37178-37218 rgb_led_ws281x: g: "00" +37218-37258 rgb_led_ws281x: r: "00" +37258-37298 rgb_led_ws281x: b: "00" 37178-37298 rgb_led_ws281x: rgb: "#000000" 37298-37303 rgb_led_ws281x: bit: "0" 37303-37308 rgb_led_ws281x: bit: "0" @@ -3377,6 +3779,9 @@ 37403-37408 rgb_led_ws281x: bit: "0" 37408-37413 rgb_led_ws281x: bit: "0" 37413-37418 rgb_led_ws281x: bit: "0" +37298-37338 rgb_led_ws281x: g: "00" +37338-37378 rgb_led_ws281x: r: "00" +37378-37418 rgb_led_ws281x: b: "00" 37298-37418 rgb_led_ws281x: rgb: "#000000" 37418-37423 rgb_led_ws281x: bit: "0" 37423-37428 rgb_led_ws281x: bit: "0" @@ -3402,6 +3807,9 @@ 37523-37528 rgb_led_ws281x: bit: "0" 37528-37533 rgb_led_ws281x: bit: "0" 37533-37538 rgb_led_ws281x: bit: "0" +37418-37458 rgb_led_ws281x: g: "00" +37458-37498 rgb_led_ws281x: r: "00" +37498-37538 rgb_led_ws281x: b: "00" 37418-37538 rgb_led_ws281x: rgb: "#000000" 37538-37543 rgb_led_ws281x: bit: "0" 37543-37548 rgb_led_ws281x: bit: "0" @@ -3427,6 +3835,9 @@ 37643-37648 rgb_led_ws281x: bit: "0" 37648-37653 rgb_led_ws281x: bit: "0" 37653-37658 rgb_led_ws281x: bit: "0" +37538-37578 rgb_led_ws281x: g: "00" +37578-37618 rgb_led_ws281x: r: "00" +37618-37658 rgb_led_ws281x: b: "00" 37538-37658 rgb_led_ws281x: rgb: "#000000" 37658-37663 rgb_led_ws281x: bit: "0" 37663-37668 rgb_led_ws281x: bit: "0" @@ -3452,6 +3863,9 @@ 37763-37768 rgb_led_ws281x: bit: "0" 37768-37773 rgb_led_ws281x: bit: "0" 37773-37778 rgb_led_ws281x: bit: "0" +37658-37698 rgb_led_ws281x: g: "00" +37698-37738 rgb_led_ws281x: r: "00" +37738-37778 rgb_led_ws281x: b: "00" 37658-37778 rgb_led_ws281x: rgb: "#000000" 37778-37783 rgb_led_ws281x: bit: "0" 37783-37788 rgb_led_ws281x: bit: "0" @@ -3477,6 +3891,9 @@ 37883-37888 rgb_led_ws281x: bit: "0" 37888-37893 rgb_led_ws281x: bit: "0" 37893-37898 rgb_led_ws281x: bit: "0" +37778-37818 rgb_led_ws281x: g: "00" +37818-37858 rgb_led_ws281x: r: "00" +37858-37898 rgb_led_ws281x: b: "00" 37778-37898 rgb_led_ws281x: rgb: "#000000" 37898-37903 rgb_led_ws281x: bit: "0" 37903-37908 rgb_led_ws281x: bit: "0" @@ -3502,6 +3919,9 @@ 38003-38008 rgb_led_ws281x: bit: "0" 38008-38013 rgb_led_ws281x: bit: "0" 38013-38018 rgb_led_ws281x: bit: "0" +37898-37938 rgb_led_ws281x: g: "00" +37938-37978 rgb_led_ws281x: r: "00" +37978-38018 rgb_led_ws281x: b: "00" 37898-38018 rgb_led_ws281x: rgb: "#000000" 38018-38023 rgb_led_ws281x: bit: "0" 38023-38028 rgb_led_ws281x: bit: "0" @@ -3527,6 +3947,9 @@ 38123-38128 rgb_led_ws281x: bit: "0" 38128-38133 rgb_led_ws281x: bit: "0" 38133-38138 rgb_led_ws281x: bit: "0" +38018-38058 rgb_led_ws281x: g: "00" +38058-38098 rgb_led_ws281x: r: "00" +38098-38138 rgb_led_ws281x: b: "00" 38018-38138 rgb_led_ws281x: rgb: "#000000" 38138-38143 rgb_led_ws281x: bit: "0" 38143-38148 rgb_led_ws281x: bit: "0" @@ -3552,6 +3975,9 @@ 38243-38248 rgb_led_ws281x: bit: "0" 38248-38253 rgb_led_ws281x: bit: "0" 38253-38258 rgb_led_ws281x: bit: "0" +38138-38178 rgb_led_ws281x: g: "00" +38178-38218 rgb_led_ws281x: r: "00" +38218-38258 rgb_led_ws281x: b: "00" 38138-38258 rgb_led_ws281x: rgb: "#000000" 38258-38263 rgb_led_ws281x: bit: "0" 38263-38268 rgb_led_ws281x: bit: "0" @@ -3577,6 +4003,9 @@ 38363-38368 rgb_led_ws281x: bit: "0" 38368-38373 rgb_led_ws281x: bit: "0" 38373-38378 rgb_led_ws281x: bit: "0" +38258-38298 rgb_led_ws281x: g: "00" +38298-38338 rgb_led_ws281x: r: "00" +38338-38378 rgb_led_ws281x: b: "00" 38258-38378 rgb_led_ws281x: rgb: "#000000" 38378-38383 rgb_led_ws281x: bit: "0" 38383-38388 rgb_led_ws281x: bit: "0" @@ -3601,6 +4030,9 @@ 38478-38483 rgb_led_ws281x: bit: "0" 38483-38488 rgb_led_ws281x: bit: "0" 38488-38493 rgb_led_ws281x: bit: "0" +38378-38418 rgb_led_ws281x: g: "00" +38418-38458 rgb_led_ws281x: r: "00" +38458-38494 rgb_led_ws281x: b: "00" 38378-38494 rgb_led_ws281x: rgb: "#000000" 38493-38494 rgb_led_ws281x: bit: "0" 38494-38695 rgb_led_ws281x: reset: "RESET" "RST" "R" diff --git a/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch0.output b/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch0.output index a0eda1a..bde819c 100644 --- a/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch0.output +++ b/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch0.output @@ -22,6 +22,9 @@ 5158-5164 rgb_led_ws281x: bit: "0" 5164-5170 rgb_led_ws281x: bit: "0" 5170-5177 rgb_led_ws281x: bit: "0" +5029-5078 rgb_led_ws281x: g: "7e" +5078-5127 rgb_led_ws281x: r: "fb" +5127-5177 rgb_led_ws281x: b: "00" 5029-5177 rgb_led_ws281x: rgb: "#fb7e00" 5177-5183 rgb_led_ws281x: bit: "1" 5183-5189 rgb_led_ws281x: bit: "1" @@ -47,6 +50,9 @@ 5306-5312 rgb_led_ws281x: bit: "0" 5312-5318 rgb_led_ws281x: bit: "0" 5318-5325 rgb_led_ws281x: bit: "0" +5177-5226 rgb_led_ws281x: g: "fd" +5226-5275 rgb_led_ws281x: r: "ff" +5275-5325 rgb_led_ws281x: b: "00" 5177-5325 rgb_led_ws281x: rgb: "#fffd00" 5325-5331 rgb_led_ws281x: bit: "1" 5331-5337 rgb_led_ws281x: bit: "1" @@ -72,6 +78,9 @@ 5454-5460 rgb_led_ws281x: bit: "0" 5460-5466 rgb_led_ws281x: bit: "0" 5466-5473 rgb_led_ws281x: bit: "0" +5325-5374 rgb_led_ws281x: g: "fb" +5374-5423 rgb_led_ws281x: r: "f7" +5423-5473 rgb_led_ws281x: b: "00" 5325-5473 rgb_led_ws281x: rgb: "#f7fb00" 5473-5479 rgb_led_ws281x: bit: "1" 5479-5485 rgb_led_ws281x: bit: "1" @@ -97,6 +106,9 @@ 5602-5608 rgb_led_ws281x: bit: "0" 5608-5614 rgb_led_ws281x: bit: "0" 5614-5621 rgb_led_ws281x: bit: "0" +5473-5522 rgb_led_ws281x: g: "f7" +5522-5571 rgb_led_ws281x: r: "ef" +5571-5621 rgb_led_ws281x: b: "00" 5473-5621 rgb_led_ws281x: rgb: "#eff700" 5621-5627 rgb_led_ws281x: bit: "1" 5627-5633 rgb_led_ws281x: bit: "1" @@ -122,6 +134,9 @@ 5750-5756 rgb_led_ws281x: bit: "0" 5756-5763 rgb_led_ws281x: bit: "0" 5763-5769 rgb_led_ws281x: bit: "0" +5621-5670 rgb_led_ws281x: g: "ef" +5670-5720 rgb_led_ws281x: r: "df" +5720-5769 rgb_led_ws281x: b: "00" 5621-5769 rgb_led_ws281x: rgb: "#dfef00" 5769-5775 rgb_led_ws281x: bit: "1" 5775-5781 rgb_led_ws281x: bit: "1" @@ -147,6 +162,9 @@ 5898-5905 rgb_led_ws281x: bit: "0" 5905-5911 rgb_led_ws281x: bit: "0" 5911-5917 rgb_led_ws281x: bit: "0" +5769-5818 rgb_led_ws281x: g: "ef" +5818-5868 rgb_led_ws281x: r: "bf" +5868-5917 rgb_led_ws281x: b: "00" 5769-5917 rgb_led_ws281x: rgb: "#bfef00" 5917-5923 rgb_led_ws281x: bit: "1" 5923-5930 rgb_led_ws281x: bit: "1" @@ -172,6 +190,9 @@ 6046-6053 rgb_led_ws281x: bit: "0" 6053-6059 rgb_led_ws281x: bit: "0" 6059-6065 rgb_led_ws281x: bit: "0" +5917-5967 rgb_led_ws281x: g: "df" +5967-6016 rgb_led_ws281x: r: "7e" +6016-6065 rgb_led_ws281x: b: "00" 5917-6065 rgb_led_ws281x: rgb: "#7edf00" 6065-6072 rgb_led_ws281x: bit: "1" 6072-6078 rgb_led_ws281x: bit: "0" @@ -197,6 +218,9 @@ 6195-6201 rgb_led_ws281x: bit: "0" 6201-6207 rgb_led_ws281x: bit: "0" 6207-6214 rgb_led_ws281x: bit: "0" +6065-6115 rgb_led_ws281x: g: "be" +6115-6164 rgb_led_ws281x: r: "fd" +6164-6214 rgb_led_ws281x: b: "00" 6065-6214 rgb_led_ws281x: rgb: "#fdbe00" 6214-6220 rgb_led_ws281x: bit: "0" 6220-6226 rgb_led_ws281x: bit: "1" @@ -222,6 +246,9 @@ 6343-6349 rgb_led_ws281x: bit: "0" 6349-6355 rgb_led_ws281x: bit: "0" 6355-6362 rgb_led_ws281x: bit: "0" +6214-6263 rgb_led_ws281x: g: "7f" +6263-6312 rgb_led_ws281x: r: "fb" +6312-6362 rgb_led_ws281x: b: "00" 6214-6362 rgb_led_ws281x: rgb: "#fb7f00" 6362-6368 rgb_led_ws281x: bit: "1" 6368-6374 rgb_led_ws281x: bit: "1" @@ -247,6 +274,9 @@ 6491-6497 rgb_led_ws281x: bit: "0" 6497-6503 rgb_led_ws281x: bit: "0" 6503-6510 rgb_led_ws281x: bit: "0" +6362-6411 rgb_led_ws281x: g: "fd" +6411-6460 rgb_led_ws281x: r: "ff" +6460-6510 rgb_led_ws281x: b: "00" 6362-6510 rgb_led_ws281x: rgb: "#fffd00" 6510-6516 rgb_led_ws281x: bit: "1" 6516-6522 rgb_led_ws281x: bit: "1" @@ -272,6 +302,9 @@ 6639-6645 rgb_led_ws281x: bit: "0" 6645-6651 rgb_led_ws281x: bit: "0" 6651-6658 rgb_led_ws281x: bit: "0" +6510-6559 rgb_led_ws281x: g: "fb" +6559-6608 rgb_led_ws281x: r: "ff" +6608-6658 rgb_led_ws281x: b: "00" 6510-6658 rgb_led_ws281x: rgb: "#fffb00" 6658-6664 rgb_led_ws281x: bit: "1" 6664-6670 rgb_led_ws281x: bit: "1" @@ -297,6 +330,9 @@ 6787-6793 rgb_led_ws281x: bit: "0" 6793-6799 rgb_led_ws281x: bit: "0" 6799-6806 rgb_led_ws281x: bit: "0" +6658-6707 rgb_led_ws281x: g: "f7" +6707-6756 rgb_led_ws281x: r: "ef" +6756-6806 rgb_led_ws281x: b: "00" 6658-6806 rgb_led_ws281x: rgb: "#eff700" 6806-6812 rgb_led_ws281x: bit: "1" 6812-6818 rgb_led_ws281x: bit: "1" @@ -322,6 +358,9 @@ 6935-6941 rgb_led_ws281x: bit: "0" 6941-6948 rgb_led_ws281x: bit: "0" 6948-6954 rgb_led_ws281x: bit: "0" +6806-6855 rgb_led_ws281x: g: "ef" +6855-6905 rgb_led_ws281x: r: "df" +6905-6954 rgb_led_ws281x: b: "00" 6806-6954 rgb_led_ws281x: rgb: "#dfef00" 6954-6960 rgb_led_ws281x: bit: "1" 6960-6966 rgb_led_ws281x: bit: "1" @@ -347,6 +386,9 @@ 7083-7090 rgb_led_ws281x: bit: "0" 7090-7096 rgb_led_ws281x: bit: "0" 7096-7102 rgb_led_ws281x: bit: "0" +6954-7003 rgb_led_ws281x: g: "ff" +7003-7053 rgb_led_ws281x: r: "bf" +7053-7102 rgb_led_ws281x: b: "00" 6954-7102 rgb_led_ws281x: rgb: "#bfff00" 7102-7108 rgb_led_ws281x: bit: "1" 7108-7115 rgb_led_ws281x: bit: "1" @@ -372,6 +414,9 @@ 7232-7238 rgb_led_ws281x: bit: "0" 7238-7244 rgb_led_ws281x: bit: "0" 7244-7250 rgb_led_ws281x: bit: "0" +7102-7152 rgb_led_ws281x: g: "df" +7152-7201 rgb_led_ws281x: r: "7e" +7201-7250 rgb_led_ws281x: b: "00" 7102-7250 rgb_led_ws281x: rgb: "#7edf00" 7250-7257 rgb_led_ws281x: bit: "1" 7257-7263 rgb_led_ws281x: bit: "0" @@ -397,6 +442,9 @@ 7380-7386 rgb_led_ws281x: bit: "0" 7386-7392 rgb_led_ws281x: bit: "0" 7392-7399 rgb_led_ws281x: bit: "0" +7250-7300 rgb_led_ws281x: g: "be" +7300-7349 rgb_led_ws281x: r: "fd" +7349-7399 rgb_led_ws281x: b: "00" 7250-7399 rgb_led_ws281x: rgb: "#fdbe00" 7399-7405 rgb_led_ws281x: bit: "0" 7405-7411 rgb_led_ws281x: bit: "1" @@ -422,6 +470,9 @@ 7528-7534 rgb_led_ws281x: bit: "0" 7534-7540 rgb_led_ws281x: bit: "0" 7540-7547 rgb_led_ws281x: bit: "0" +7399-7448 rgb_led_ws281x: g: "7f" +7448-7497 rgb_led_ws281x: r: "fb" +7497-7547 rgb_led_ws281x: b: "00" 7399-7547 rgb_led_ws281x: rgb: "#fb7f00" 7547-7553 rgb_led_ws281x: bit: "1" 7553-7559 rgb_led_ws281x: bit: "1" @@ -447,6 +498,9 @@ 7676-7682 rgb_led_ws281x: bit: "0" 7682-7688 rgb_led_ws281x: bit: "0" 7688-7695 rgb_led_ws281x: bit: "0" +7547-7596 rgb_led_ws281x: g: "fd" +7596-7645 rgb_led_ws281x: r: "f7" +7645-7695 rgb_led_ws281x: b: "00" 7547-7695 rgb_led_ws281x: rgb: "#f7fd00" 7695-7701 rgb_led_ws281x: bit: "1" 7701-7707 rgb_led_ws281x: bit: "1" @@ -472,6 +526,9 @@ 7824-7830 rgb_led_ws281x: bit: "0" 7830-7836 rgb_led_ws281x: bit: "0" 7836-7843 rgb_led_ws281x: bit: "0" +7695-7744 rgb_led_ws281x: g: "fb" +7744-7793 rgb_led_ws281x: r: "ff" +7793-7843 rgb_led_ws281x: b: "00" 7695-7843 rgb_led_ws281x: rgb: "#fffb00" 7843-7849 rgb_led_ws281x: bit: "1" 7849-7855 rgb_led_ws281x: bit: "1" @@ -497,6 +554,9 @@ 7972-7978 rgb_led_ws281x: bit: "0" 7978-7984 rgb_led_ws281x: bit: "0" 7984-7991 rgb_led_ws281x: bit: "0" +7843-7892 rgb_led_ws281x: g: "f7" +7892-7941 rgb_led_ws281x: r: "ef" +7941-7991 rgb_led_ws281x: b: "00" 7843-7991 rgb_led_ws281x: rgb: "#eff700" 7991-7997 rgb_led_ws281x: bit: "1" 7997-8003 rgb_led_ws281x: bit: "1" @@ -522,6 +582,9 @@ 8120-8126 rgb_led_ws281x: bit: "0" 8126-8133 rgb_led_ws281x: bit: "0" 8133-8139 rgb_led_ws281x: bit: "0" +7991-8040 rgb_led_ws281x: g: "ef" +8040-8090 rgb_led_ws281x: r: "df" +8090-8139 rgb_led_ws281x: b: "00" 7991-8139 rgb_led_ws281x: rgb: "#dfef00" 8139-8145 rgb_led_ws281x: bit: "1" 8145-8151 rgb_led_ws281x: bit: "1" @@ -547,6 +610,9 @@ 8268-8275 rgb_led_ws281x: bit: "0" 8275-8281 rgb_led_ws281x: bit: "0" 8281-8287 rgb_led_ws281x: bit: "0" +8139-8188 rgb_led_ws281x: g: "ff" +8188-8238 rgb_led_ws281x: r: "be" +8238-8287 rgb_led_ws281x: b: "00" 8139-8287 rgb_led_ws281x: rgb: "#beff00" 8287-8294 rgb_led_ws281x: bit: "1" 8294-8300 rgb_led_ws281x: bit: "0" @@ -572,6 +638,9 @@ 8417-8423 rgb_led_ws281x: bit: "0" 8423-8429 rgb_led_ws281x: bit: "0" 8429-8435 rgb_led_ws281x: bit: "0" +8287-8337 rgb_led_ws281x: g: "bf" +8337-8386 rgb_led_ws281x: r: "7f" +8386-8435 rgb_led_ws281x: b: "00" 8287-8435 rgb_led_ws281x: rgb: "#7fbf00" 8435-8442 rgb_led_ws281x: bit: "1" 8442-8448 rgb_led_ws281x: bit: "0" @@ -597,6 +666,9 @@ 8565-8571 rgb_led_ws281x: bit: "0" 8571-8577 rgb_led_ws281x: bit: "0" 8577-8584 rgb_led_ws281x: bit: "0" +8435-8485 rgb_led_ws281x: g: "be" +8485-8534 rgb_led_ws281x: r: "fd" +8534-8584 rgb_led_ws281x: b: "00" 8435-8584 rgb_led_ws281x: rgb: "#fdbe00" 8584-8590 rgb_led_ws281x: bit: "0" 8590-8596 rgb_led_ws281x: bit: "1" @@ -622,6 +694,9 @@ 8713-8719 rgb_led_ws281x: bit: "0" 8719-8725 rgb_led_ws281x: bit: "0" 8725-8732 rgb_led_ws281x: bit: "0" +8584-8633 rgb_led_ws281x: g: "7d" +8633-8682 rgb_led_ws281x: r: "fb" +8682-8732 rgb_led_ws281x: b: "00" 8584-8732 rgb_led_ws281x: rgb: "#fb7d00" 8732-8738 rgb_led_ws281x: bit: "1" 8738-8744 rgb_led_ws281x: bit: "1" @@ -647,6 +722,9 @@ 8861-8867 rgb_led_ws281x: bit: "0" 8867-8873 rgb_led_ws281x: bit: "0" 8873-8880 rgb_led_ws281x: bit: "0" +8732-8781 rgb_led_ws281x: g: "fd" +8781-8830 rgb_led_ws281x: r: "f7" +8830-8880 rgb_led_ws281x: b: "00" 8732-8880 rgb_led_ws281x: rgb: "#f7fd00" 8880-8886 rgb_led_ws281x: bit: "1" 8886-8892 rgb_led_ws281x: bit: "1" @@ -672,6 +750,9 @@ 9009-9015 rgb_led_ws281x: bit: "0" 9015-9021 rgb_led_ws281x: bit: "0" 9021-9028 rgb_led_ws281x: bit: "0" +8880-8929 rgb_led_ws281x: g: "fb" +8929-8978 rgb_led_ws281x: r: "ef" +8978-9028 rgb_led_ws281x: b: "00" 8880-9028 rgb_led_ws281x: rgb: "#effb00" 9028-9034 rgb_led_ws281x: bit: "1" 9034-9040 rgb_led_ws281x: bit: "1" @@ -697,6 +778,9 @@ 9157-9163 rgb_led_ws281x: bit: "0" 9163-9170 rgb_led_ws281x: bit: "0" 9170-9176 rgb_led_ws281x: bit: "0" +9028-9077 rgb_led_ws281x: g: "f7" +9077-9126 rgb_led_ws281x: r: "df" +9126-9176 rgb_led_ws281x: b: "00" 9028-9176 rgb_led_ws281x: rgb: "#dff700" 9176-9182 rgb_led_ws281x: bit: "1" 9182-9188 rgb_led_ws281x: bit: "1" @@ -722,6 +806,9 @@ 9305-9311 rgb_led_ws281x: bit: "0" 9311-9318 rgb_led_ws281x: bit: "0" 9318-9324 rgb_led_ws281x: bit: "0" +9176-9225 rgb_led_ws281x: g: "ef" +9225-9275 rgb_led_ws281x: r: "df" +9275-9324 rgb_led_ws281x: b: "00" 9176-9324 rgb_led_ws281x: rgb: "#dfef00" 9324-9330 rgb_led_ws281x: bit: "1" 9330-9337 rgb_led_ws281x: bit: "1" @@ -747,6 +834,9 @@ 9453-9460 rgb_led_ws281x: bit: "0" 9460-9466 rgb_led_ws281x: bit: "0" 9466-9472 rgb_led_ws281x: bit: "0" +9324-9373 rgb_led_ws281x: g: "df" +9373-9423 rgb_led_ws281x: r: "be" +9423-9472 rgb_led_ws281x: b: "00" 9324-9472 rgb_led_ws281x: rgb: "#bedf00" 9472-9479 rgb_led_ws281x: bit: "1" 9479-9485 rgb_led_ws281x: bit: "0" @@ -772,6 +862,9 @@ 9602-9608 rgb_led_ws281x: bit: "0" 9608-9614 rgb_led_ws281x: bit: "0" 9614-9620 rgb_led_ws281x: bit: "0" +9472-9522 rgb_led_ws281x: g: "bf" +9522-9571 rgb_led_ws281x: r: "7f" +9571-9620 rgb_led_ws281x: b: "00" 9472-9620 rgb_led_ws281x: rgb: "#7fbf00" 9620-9627 rgb_led_ws281x: bit: "1" 9627-9633 rgb_led_ws281x: bit: "0" @@ -797,6 +890,9 @@ 9750-9756 rgb_led_ws281x: bit: "0" 9756-9762 rgb_led_ws281x: bit: "0" 9762-9769 rgb_led_ws281x: bit: "0" +9620-9670 rgb_led_ws281x: g: "be" +9670-9719 rgb_led_ws281x: r: "fd" +9719-9769 rgb_led_ws281x: b: "00" 9620-9769 rgb_led_ws281x: rgb: "#fdbe00" 9769-9775 rgb_led_ws281x: bit: "0" 9775-9781 rgb_led_ws281x: bit: "1" @@ -822,6 +918,9 @@ 9898-9904 rgb_led_ws281x: bit: "0" 9904-9910 rgb_led_ws281x: bit: "0" 9910-9917 rgb_led_ws281x: bit: "0" +9769-9818 rgb_led_ws281x: g: "7d" +9818-9867 rgb_led_ws281x: r: "fb" +9867-9917 rgb_led_ws281x: b: "00" 9769-9917 rgb_led_ws281x: rgb: "#fb7d00" 9917-9923 rgb_led_ws281x: bit: "1" 9923-9929 rgb_led_ws281x: bit: "1" @@ -847,6 +946,9 @@ 10046-10052 rgb_led_ws281x: bit: "0" 10052-10058 rgb_led_ws281x: bit: "0" 10058-10065 rgb_led_ws281x: bit: "0" +9917-9966 rgb_led_ws281x: g: "ff" +9966-10015 rgb_led_ws281x: r: "f7" +10015-10065 rgb_led_ws281x: b: "00" 9917-10065 rgb_led_ws281x: rgb: "#f7ff00" 10065-10071 rgb_led_ws281x: bit: "1" 10071-10077 rgb_led_ws281x: bit: "1" @@ -872,6 +974,9 @@ 10194-10200 rgb_led_ws281x: bit: "0" 10200-10206 rgb_led_ws281x: bit: "0" 10206-10213 rgb_led_ws281x: bit: "0" +10065-10114 rgb_led_ws281x: g: "fb" +10114-10163 rgb_led_ws281x: r: "ef" +10163-10213 rgb_led_ws281x: b: "00" 10065-10213 rgb_led_ws281x: rgb: "#effb00" 10213-10219 rgb_led_ws281x: bit: "1" 10219-10225 rgb_led_ws281x: bit: "1" @@ -897,6 +1002,9 @@ 10342-10348 rgb_led_ws281x: bit: "0" 10348-10355 rgb_led_ws281x: bit: "0" 10355-10361 rgb_led_ws281x: bit: "0" +10213-10262 rgb_led_ws281x: g: "f7" +10262-10311 rgb_led_ws281x: r: "df" +10311-10361 rgb_led_ws281x: b: "00" 10213-10361 rgb_led_ws281x: rgb: "#dff700" 10361-10367 rgb_led_ws281x: bit: "1" 10367-10373 rgb_led_ws281x: bit: "1" @@ -922,6 +1030,9 @@ 10490-10496 rgb_led_ws281x: bit: "0" 10496-10503 rgb_led_ws281x: bit: "0" 10503-10509 rgb_led_ws281x: bit: "0" +10361-10410 rgb_led_ws281x: g: "ef" +10410-10460 rgb_led_ws281x: r: "df" +10460-10509 rgb_led_ws281x: b: "00" 10361-10509 rgb_led_ws281x: rgb: "#dfef00" 10509-10515 rgb_led_ws281x: bit: "1" 10515-10522 rgb_led_ws281x: bit: "1" @@ -947,6 +1058,9 @@ 10638-10645 rgb_led_ws281x: bit: "0" 10645-10651 rgb_led_ws281x: bit: "0" 10651-10657 rgb_led_ws281x: bit: "0" +10509-10558 rgb_led_ws281x: g: "df" +10558-10608 rgb_led_ws281x: r: "be" +10608-10657 rgb_led_ws281x: b: "00" 10509-10657 rgb_led_ws281x: rgb: "#bedf00" 10657-10664 rgb_led_ws281x: bit: "1" 10664-10670 rgb_led_ws281x: bit: "0" @@ -972,6 +1086,9 @@ 10787-10793 rgb_led_ws281x: bit: "0" 10793-10799 rgb_led_ws281x: bit: "0" 10799-10805 rgb_led_ws281x: bit: "0" +10657-10707 rgb_led_ws281x: g: "bf" +10707-10756 rgb_led_ws281x: r: "7d" +10756-10805 rgb_led_ws281x: b: "00" 10657-10805 rgb_led_ws281x: rgb: "#7dbf00" 10805-10812 rgb_led_ws281x: bit: "1" 10812-10818 rgb_led_ws281x: bit: "0" @@ -997,6 +1114,9 @@ 10935-10941 rgb_led_ws281x: bit: "0" 10941-10947 rgb_led_ws281x: bit: "0" 10947-10954 rgb_led_ws281x: bit: "0" +10805-10855 rgb_led_ws281x: g: "be" +10855-10904 rgb_led_ws281x: r: "ff" +10904-10954 rgb_led_ws281x: b: "00" 10805-10954 rgb_led_ws281x: rgb: "#ffbe00" 10954-10960 rgb_led_ws281x: bit: "0" 10960-10966 rgb_led_ws281x: bit: "1" @@ -1022,6 +1142,9 @@ 11083-11089 rgb_led_ws281x: bit: "0" 11089-11095 rgb_led_ws281x: bit: "0" 11095-11102 rgb_led_ws281x: bit: "0" +10954-11003 rgb_led_ws281x: g: "7d" +11003-11052 rgb_led_ws281x: r: "fb" +11052-11102 rgb_led_ws281x: b: "00" 10954-11102 rgb_led_ws281x: rgb: "#fb7d00" 11102-11108 rgb_led_ws281x: bit: "1" 11108-11114 rgb_led_ws281x: bit: "1" @@ -1047,6 +1170,9 @@ 11231-11237 rgb_led_ws281x: bit: "0" 11237-11243 rgb_led_ws281x: bit: "0" 11243-11250 rgb_led_ws281x: bit: "0" +11102-11151 rgb_led_ws281x: g: "fb" +11151-11200 rgb_led_ws281x: r: "f7" +11200-11250 rgb_led_ws281x: b: "00" 11102-11250 rgb_led_ws281x: rgb: "#f7fb00" 11250-11256 rgb_led_ws281x: bit: "1" 11256-11262 rgb_led_ws281x: bit: "1" @@ -1072,6 +1198,9 @@ 11379-11385 rgb_led_ws281x: bit: "0" 11385-11391 rgb_led_ws281x: bit: "0" 11391-11398 rgb_led_ws281x: bit: "0" +11250-11299 rgb_led_ws281x: g: "ff" +11299-11348 rgb_led_ws281x: r: "ef" +11348-11398 rgb_led_ws281x: b: "00" 11250-11398 rgb_led_ws281x: rgb: "#efff00" 11398-11404 rgb_led_ws281x: bit: "1" 11404-11410 rgb_led_ws281x: bit: "1" @@ -1097,6 +1226,9 @@ 11527-11533 rgb_led_ws281x: bit: "0" 11533-11540 rgb_led_ws281x: bit: "0" 11540-11546 rgb_led_ws281x: bit: "0" +11398-11447 rgb_led_ws281x: g: "f7" +11447-11496 rgb_led_ws281x: r: "df" +11496-11546 rgb_led_ws281x: b: "00" 11398-11546 rgb_led_ws281x: rgb: "#dff700" 11546-11552 rgb_led_ws281x: bit: "1" 11552-11558 rgb_led_ws281x: bit: "1" @@ -1122,6 +1254,9 @@ 11675-11682 rgb_led_ws281x: bit: "0" 11682-11688 rgb_led_ws281x: bit: "0" 11688-11694 rgb_led_ws281x: bit: "0" +11546-11595 rgb_led_ws281x: g: "ef" +11595-11645 rgb_led_ws281x: r: "ff" +11645-11694 rgb_led_ws281x: b: "00" 11546-11694 rgb_led_ws281x: rgb: "#ffef00" 11694-11700 rgb_led_ws281x: bit: "1" 11700-11707 rgb_led_ws281x: bit: "1" @@ -1147,6 +1282,9 @@ 11823-11830 rgb_led_ws281x: bit: "0" 11830-11836 rgb_led_ws281x: bit: "0" 11836-11842 rgb_led_ws281x: bit: "0" +11694-11743 rgb_led_ws281x: g: "df" +11743-11793 rgb_led_ws281x: r: "be" +11793-11842 rgb_led_ws281x: b: "00" 11694-11842 rgb_led_ws281x: rgb: "#bedf00" 11842-11849 rgb_led_ws281x: bit: "1" 11849-11855 rgb_led_ws281x: bit: "0" @@ -1172,6 +1310,9 @@ 11972-11978 rgb_led_ws281x: bit: "0" 11978-11984 rgb_led_ws281x: bit: "0" 11984-11991 rgb_led_ws281x: bit: "0" +11842-11892 rgb_led_ws281x: g: "bf" +11892-11941 rgb_led_ws281x: r: "7d" +11941-11991 rgb_led_ws281x: b: "00" 11842-11991 rgb_led_ws281x: rgb: "#7dbf00" 11991-11997 rgb_led_ws281x: bit: "0" 11997-12003 rgb_led_ws281x: bit: "1" @@ -1197,6 +1338,9 @@ 12120-12126 rgb_led_ws281x: bit: "0" 12126-12132 rgb_led_ws281x: bit: "0" 12132-12139 rgb_led_ws281x: bit: "0" +11991-12040 rgb_led_ws281x: g: "7e" +12040-12089 rgb_led_ws281x: r: "ff" +12089-12139 rgb_led_ws281x: b: "00" 11991-12139 rgb_led_ws281x: rgb: "#ff7e00" 12139-12145 rgb_led_ws281x: bit: "0" 12145-12151 rgb_led_ws281x: bit: "1" @@ -1222,6 +1366,9 @@ 12268-12274 rgb_led_ws281x: bit: "0" 12274-12280 rgb_led_ws281x: bit: "0" 12280-12287 rgb_led_ws281x: bit: "0" +12139-12188 rgb_led_ws281x: g: "7d" +12188-12237 rgb_led_ws281x: r: "ff" +12237-12287 rgb_led_ws281x: b: "00" 12139-12287 rgb_led_ws281x: rgb: "#ff7d00" 12287-12293 rgb_led_ws281x: bit: "1" 12293-12299 rgb_led_ws281x: bit: "1" @@ -1247,6 +1394,9 @@ 12416-12422 rgb_led_ws281x: bit: "0" 12422-12428 rgb_led_ws281x: bit: "0" 12428-12435 rgb_led_ws281x: bit: "0" +12287-12336 rgb_led_ws281x: g: "fb" +12336-12385 rgb_led_ws281x: r: "f7" +12385-12435 rgb_led_ws281x: b: "00" 12287-12435 rgb_led_ws281x: rgb: "#f7fb00" 12435-12441 rgb_led_ws281x: bit: "1" 12441-12447 rgb_led_ws281x: bit: "1" @@ -1272,6 +1422,9 @@ 12564-12570 rgb_led_ws281x: bit: "0" 12570-12576 rgb_led_ws281x: bit: "0" 12576-12583 rgb_led_ws281x: bit: "0" +12435-12484 rgb_led_ws281x: g: "ff" +12484-12533 rgb_led_ws281x: r: "ef" +12533-12583 rgb_led_ws281x: b: "00" 12435-12583 rgb_led_ws281x: rgb: "#efff00" 12583-12589 rgb_led_ws281x: bit: "1" 12589-12595 rgb_led_ws281x: bit: "1" @@ -1297,6 +1450,9 @@ 12712-12718 rgb_led_ws281x: bit: "0" 12718-12725 rgb_led_ws281x: bit: "0" 12725-12731 rgb_led_ws281x: bit: "0" +12583-12632 rgb_led_ws281x: g: "f7" +12632-12681 rgb_led_ws281x: r: "df" +12681-12731 rgb_led_ws281x: b: "00" 12583-12731 rgb_led_ws281x: rgb: "#dff700" 12731-12737 rgb_led_ws281x: bit: "1" 12737-12743 rgb_led_ws281x: bit: "1" @@ -1322,6 +1478,9 @@ 12860-12867 rgb_led_ws281x: bit: "0" 12867-12873 rgb_led_ws281x: bit: "0" 12873-12879 rgb_led_ws281x: bit: "0" +12731-12780 rgb_led_ws281x: g: "ef" +12780-12830 rgb_led_ws281x: r: "bf" +12830-12879 rgb_led_ws281x: b: "00" 12731-12879 rgb_led_ws281x: rgb: "#bfef00" 12879-12885 rgb_led_ws281x: bit: "1" 12885-12892 rgb_led_ws281x: bit: "1" @@ -1347,6 +1506,9 @@ 13008-13015 rgb_led_ws281x: bit: "0" 13015-13021 rgb_led_ws281x: bit: "0" 13021-13027 rgb_led_ws281x: bit: "0" +12879-12928 rgb_led_ws281x: g: "df" +12928-12978 rgb_led_ws281x: r: "be" +12978-13027 rgb_led_ws281x: b: "00" 12879-13027 rgb_led_ws281x: rgb: "#bedf00" 13027-13034 rgb_led_ws281x: bit: "1" 13034-13040 rgb_led_ws281x: bit: "0" @@ -1372,6 +1534,9 @@ 13157-13163 rgb_led_ws281x: bit: "0" 13163-13169 rgb_led_ws281x: bit: "0" 13169-13176 rgb_led_ws281x: bit: "0" +13027-13077 rgb_led_ws281x: g: "bf" +13077-13126 rgb_led_ws281x: r: "7d" +13126-13176 rgb_led_ws281x: b: "00" 13027-13176 rgb_led_ws281x: rgb: "#7dbf00" 13176-13182 rgb_led_ws281x: bit: "0" 13182-13188 rgb_led_ws281x: bit: "1" @@ -1397,6 +1562,9 @@ 13305-13311 rgb_led_ws281x: bit: "0" 13311-13317 rgb_led_ws281x: bit: "0" 13317-13324 rgb_led_ws281x: bit: "0" +13176-13225 rgb_led_ws281x: g: "7e" +13225-13274 rgb_led_ws281x: r: "fb" +13274-13324 rgb_led_ws281x: b: "00" 13176-13324 rgb_led_ws281x: rgb: "#fb7e00" 13324-13330 rgb_led_ws281x: bit: "1" 13330-13336 rgb_led_ws281x: bit: "1" @@ -1422,6 +1590,9 @@ 13453-13459 rgb_led_ws281x: bit: "0" 13459-13465 rgb_led_ws281x: bit: "0" 13465-13472 rgb_led_ws281x: bit: "0" +13324-13373 rgb_led_ws281x: g: "fd" +13373-13422 rgb_led_ws281x: r: "fb" +13422-13472 rgb_led_ws281x: b: "00" 13324-13472 rgb_led_ws281x: rgb: "#fbfd00" 13472-13478 rgb_led_ws281x: bit: "1" 13478-13484 rgb_led_ws281x: bit: "1" @@ -1447,6 +1618,9 @@ 13601-13607 rgb_led_ws281x: bit: "0" 13607-13613 rgb_led_ws281x: bit: "0" 13613-13620 rgb_led_ws281x: bit: "0" +13472-13521 rgb_led_ws281x: g: "fb" +13521-13570 rgb_led_ws281x: r: "f7" +13570-13620 rgb_led_ws281x: b: "00" 13472-13620 rgb_led_ws281x: rgb: "#f7fb00" 13620-13626 rgb_led_ws281x: bit: "1" 13626-13632 rgb_led_ws281x: bit: "1" @@ -1472,6 +1646,9 @@ 13749-13755 rgb_led_ws281x: bit: "0" 13755-13761 rgb_led_ws281x: bit: "0" 13761-13768 rgb_led_ws281x: bit: "0" +13620-13669 rgb_led_ws281x: g: "f7" +13669-13718 rgb_led_ws281x: r: "ef" +13718-13768 rgb_led_ws281x: b: "00" 13620-13768 rgb_led_ws281x: rgb: "#eff700" 13768-13774 rgb_led_ws281x: bit: "1" 13774-13780 rgb_led_ws281x: bit: "1" @@ -1497,6 +1674,9 @@ 13897-13903 rgb_led_ws281x: bit: "0" 13903-13910 rgb_led_ws281x: bit: "0" 13910-13916 rgb_led_ws281x: bit: "0" +13768-13817 rgb_led_ws281x: g: "ff" +13817-13866 rgb_led_ws281x: r: "df" +13866-13916 rgb_led_ws281x: b: "00" 13768-13916 rgb_led_ws281x: rgb: "#dfff00" 13916-13922 rgb_led_ws281x: bit: "1" 13922-13928 rgb_led_ws281x: bit: "1" @@ -1522,6 +1702,9 @@ 14045-14052 rgb_led_ws281x: bit: "0" 14052-14058 rgb_led_ws281x: bit: "0" 14058-14064 rgb_led_ws281x: bit: "0" +13916-13965 rgb_led_ws281x: g: "ef" +13965-14015 rgb_led_ws281x: r: "bf" +14015-14064 rgb_led_ws281x: b: "00" 13916-14064 rgb_led_ws281x: rgb: "#bfef00" 14064-14070 rgb_led_ws281x: bit: "1" 14070-14077 rgb_led_ws281x: bit: "1" @@ -1547,6 +1730,9 @@ 14193-14200 rgb_led_ws281x: bit: "0" 14200-14206 rgb_led_ws281x: bit: "0" 14206-14212 rgb_led_ws281x: bit: "0" +14064-14114 rgb_led_ws281x: g: "df" +14114-14163 rgb_led_ws281x: r: "7e" +14163-14212 rgb_led_ws281x: b: "00" 14064-14212 rgb_led_ws281x: rgb: "#7edf00" 14212-14219 rgb_led_ws281x: bit: "1" 14219-14225 rgb_led_ws281x: bit: "0" @@ -1572,6 +1758,9 @@ 14342-14348 rgb_led_ws281x: bit: "0" 14348-14354 rgb_led_ws281x: bit: "0" 14354-14361 rgb_led_ws281x: bit: "0" +14212-14262 rgb_led_ws281x: g: "bf" +14262-14311 rgb_led_ws281x: r: "fd" +14311-14361 rgb_led_ws281x: b: "00" 14212-14361 rgb_led_ws281x: rgb: "#fdbf00" 14361-14367 rgb_led_ws281x: bit: "0" 14367-14373 rgb_led_ws281x: bit: "1" @@ -1597,6 +1786,9 @@ 14490-14496 rgb_led_ws281x: bit: "0" 14496-14502 rgb_led_ws281x: bit: "0" 14502-14509 rgb_led_ws281x: bit: "0" +14361-14410 rgb_led_ws281x: g: "7e" +14410-14459 rgb_led_ws281x: r: "fb" +14459-14509 rgb_led_ws281x: b: "00" 14361-14509 rgb_led_ws281x: rgb: "#fb7e00" 14509-14515 rgb_led_ws281x: bit: "1" 14515-14521 rgb_led_ws281x: bit: "1" @@ -1622,6 +1814,9 @@ 14638-14644 rgb_led_ws281x: bit: "0" 14644-14650 rgb_led_ws281x: bit: "0" 14650-14657 rgb_led_ws281x: bit: "0" +14509-14558 rgb_led_ws281x: g: "fd" +14558-14607 rgb_led_ws281x: r: "ff" +14607-14657 rgb_led_ws281x: b: "00" 14509-14657 rgb_led_ws281x: rgb: "#fffd00" 14657-14663 rgb_led_ws281x: bit: "1" 14663-14669 rgb_led_ws281x: bit: "1" @@ -1647,6 +1842,9 @@ 14786-14792 rgb_led_ws281x: bit: "0" 14792-14798 rgb_led_ws281x: bit: "0" 14798-14805 rgb_led_ws281x: bit: "0" +14657-14706 rgb_led_ws281x: g: "fb" +14706-14755 rgb_led_ws281x: r: "f7" +14755-14805 rgb_led_ws281x: b: "00" 14657-14805 rgb_led_ws281x: rgb: "#f7fb00" 14805-14811 rgb_led_ws281x: bit: "1" 14811-14817 rgb_led_ws281x: bit: "1" @@ -1672,6 +1870,9 @@ 14934-14940 rgb_led_ws281x: bit: "0" 14940-14946 rgb_led_ws281x: bit: "0" 14946-14953 rgb_led_ws281x: bit: "0" +14805-14854 rgb_led_ws281x: g: "f7" +14854-14903 rgb_led_ws281x: r: "ef" +14903-14953 rgb_led_ws281x: b: "00" 14805-14953 rgb_led_ws281x: rgb: "#eff700" 14953-14959 rgb_led_ws281x: bit: "1" 14959-14965 rgb_led_ws281x: bit: "1" @@ -1697,6 +1898,9 @@ 15082-15088 rgb_led_ws281x: bit: "0" 15088-15095 rgb_led_ws281x: bit: "0" 15095-15101 rgb_led_ws281x: bit: "0" +14953-15002 rgb_led_ws281x: g: "ff" +15002-15051 rgb_led_ws281x: r: "df" +15051-15101 rgb_led_ws281x: b: "00" 14953-15101 rgb_led_ws281x: rgb: "#dfff00" 15101-15107 rgb_led_ws281x: bit: "1" 15107-15113 rgb_led_ws281x: bit: "1" @@ -1722,6 +1926,9 @@ 15230-15237 rgb_led_ws281x: bit: "0" 15237-15243 rgb_led_ws281x: bit: "0" 15243-15249 rgb_led_ws281x: bit: "0" +15101-15150 rgb_led_ws281x: g: "ef" +15150-15200 rgb_led_ws281x: r: "bf" +15200-15249 rgb_led_ws281x: b: "00" 15101-15249 rgb_led_ws281x: rgb: "#bfef00" 15249-15255 rgb_led_ws281x: bit: "1" 15255-15262 rgb_led_ws281x: bit: "1" @@ -1747,6 +1954,9 @@ 15379-15385 rgb_led_ws281x: bit: "0" 15385-15391 rgb_led_ws281x: bit: "0" 15391-15397 rgb_led_ws281x: bit: "0" +15249-15299 rgb_led_ws281x: g: "df" +15299-15348 rgb_led_ws281x: r: "7e" +15348-15397 rgb_led_ws281x: b: "00" 15249-15397 rgb_led_ws281x: rgb: "#7edf00" 15397-15404 rgb_led_ws281x: bit: "1" 15404-15410 rgb_led_ws281x: bit: "0" @@ -1772,6 +1982,9 @@ 15527-15533 rgb_led_ws281x: bit: "0" 15533-15539 rgb_led_ws281x: bit: "0" 15539-15546 rgb_led_ws281x: bit: "0" +15397-15447 rgb_led_ws281x: g: "bf" +15447-15496 rgb_led_ws281x: r: "fd" +15496-15546 rgb_led_ws281x: b: "00" 15397-15546 rgb_led_ws281x: rgb: "#fdbf00" 15546-15552 rgb_led_ws281x: bit: "0" 15552-15558 rgb_led_ws281x: bit: "1" @@ -1797,6 +2010,9 @@ 15675-15681 rgb_led_ws281x: bit: "0" 15681-15687 rgb_led_ws281x: bit: "0" 15687-15694 rgb_led_ws281x: bit: "0" +15546-15595 rgb_led_ws281x: g: "7e" +15595-15644 rgb_led_ws281x: r: "fb" +15644-15694 rgb_led_ws281x: b: "00" 15546-15694 rgb_led_ws281x: rgb: "#fb7e00" 15694-15700 rgb_led_ws281x: bit: "1" 15700-15706 rgb_led_ws281x: bit: "1" @@ -1822,6 +2038,9 @@ 15823-15829 rgb_led_ws281x: bit: "0" 15829-15835 rgb_led_ws281x: bit: "0" 15835-15842 rgb_led_ws281x: bit: "0" +15694-15743 rgb_led_ws281x: g: "fd" +15743-15792 rgb_led_ws281x: r: "f7" +15792-15842 rgb_led_ws281x: b: "00" 15694-15842 rgb_led_ws281x: rgb: "#f7fd00" 15842-15848 rgb_led_ws281x: bit: "1" 15848-15854 rgb_led_ws281x: bit: "1" @@ -1847,6 +2066,9 @@ 15971-15977 rgb_led_ws281x: bit: "0" 15977-15983 rgb_led_ws281x: bit: "0" 15983-15990 rgb_led_ws281x: bit: "0" +15842-15891 rgb_led_ws281x: g: "fb" +15891-15940 rgb_led_ws281x: r: "f7" +15940-15990 rgb_led_ws281x: b: "00" 15842-15990 rgb_led_ws281x: rgb: "#f7fb00" 15990-15996 rgb_led_ws281x: bit: "1" 15996-16002 rgb_led_ws281x: bit: "1" @@ -1872,6 +2094,9 @@ 16119-16125 rgb_led_ws281x: bit: "0" 16125-16131 rgb_led_ws281x: bit: "0" 16131-16138 rgb_led_ws281x: bit: "0" +15990-16039 rgb_led_ws281x: g: "f7" +16039-16088 rgb_led_ws281x: r: "ef" +16088-16138 rgb_led_ws281x: b: "00" 15990-16138 rgb_led_ws281x: rgb: "#eff700" 16138-16144 rgb_led_ws281x: bit: "1" 16144-16150 rgb_led_ws281x: bit: "1" @@ -1897,6 +2122,9 @@ 16267-16273 rgb_led_ws281x: bit: "0" 16273-16280 rgb_led_ws281x: bit: "0" 16280-16286 rgb_led_ws281x: bit: "0" +16138-16187 rgb_led_ws281x: g: "ef" +16187-16237 rgb_led_ws281x: r: "df" +16237-16286 rgb_led_ws281x: b: "00" 16138-16286 rgb_led_ws281x: rgb: "#dfef00" 16286-16292 rgb_led_ws281x: bit: "1" 16292-16298 rgb_led_ws281x: bit: "1" @@ -1922,6 +2150,9 @@ 16415-16422 rgb_led_ws281x: bit: "0" 16422-16428 rgb_led_ws281x: bit: "0" 16428-16434 rgb_led_ws281x: bit: "0" +16286-16335 rgb_led_ws281x: g: "ff" +16335-16385 rgb_led_ws281x: r: "bf" +16385-16434 rgb_led_ws281x: b: "00" 16286-16434 rgb_led_ws281x: rgb: "#bfff00" 16434-16440 rgb_led_ws281x: bit: "1" 16440-16447 rgb_led_ws281x: bit: "1" @@ -1947,6 +2178,9 @@ 16564-16570 rgb_led_ws281x: bit: "0" 16570-16576 rgb_led_ws281x: bit: "0" 16576-16582 rgb_led_ws281x: bit: "0" +16434-16484 rgb_led_ws281x: g: "df" +16484-16533 rgb_led_ws281x: r: "7e" +16533-16582 rgb_led_ws281x: b: "00" 16434-16582 rgb_led_ws281x: rgb: "#7edf00" 16582-16589 rgb_led_ws281x: bit: "1" 16589-16595 rgb_led_ws281x: bit: "0" @@ -1972,6 +2206,9 @@ 16712-16718 rgb_led_ws281x: bit: "0" 16718-16724 rgb_led_ws281x: bit: "0" 16724-16731 rgb_led_ws281x: bit: "0" +16582-16632 rgb_led_ws281x: g: "be" +16632-16681 rgb_led_ws281x: r: "fd" +16681-16731 rgb_led_ws281x: b: "00" 16582-16731 rgb_led_ws281x: rgb: "#fdbe00" 16731-16737 rgb_led_ws281x: bit: "0" 16737-16743 rgb_led_ws281x: bit: "1" @@ -1997,6 +2234,9 @@ 16860-16866 rgb_led_ws281x: bit: "0" 16866-16872 rgb_led_ws281x: bit: "0" 16872-16879 rgb_led_ws281x: bit: "0" +16731-16780 rgb_led_ws281x: g: "7f" +16780-16829 rgb_led_ws281x: r: "fb" +16829-16879 rgb_led_ws281x: b: "00" 16731-16879 rgb_led_ws281x: rgb: "#fb7f00" 16879-16885 rgb_led_ws281x: bit: "1" 16885-16891 rgb_led_ws281x: bit: "1" @@ -2022,6 +2262,9 @@ 17008-17014 rgb_led_ws281x: bit: "0" 17014-17020 rgb_led_ws281x: bit: "0" 17020-17027 rgb_led_ws281x: bit: "0" +16879-16928 rgb_led_ws281x: g: "fd" +16928-16977 rgb_led_ws281x: r: "f7" +16977-17027 rgb_led_ws281x: b: "00" 16879-17027 rgb_led_ws281x: rgb: "#f7fd00" 17027-17033 rgb_led_ws281x: bit: "1" 17033-17039 rgb_led_ws281x: bit: "1" @@ -2047,6 +2290,9 @@ 17156-17162 rgb_led_ws281x: bit: "0" 17162-17168 rgb_led_ws281x: bit: "0" 17168-17175 rgb_led_ws281x: bit: "0" +17027-17076 rgb_led_ws281x: g: "fb" +17076-17125 rgb_led_ws281x: r: "ff" +17125-17175 rgb_led_ws281x: b: "00" 17027-17175 rgb_led_ws281x: rgb: "#fffb00" 17175-17181 rgb_led_ws281x: bit: "1" 17181-17187 rgb_led_ws281x: bit: "1" @@ -2072,6 +2318,9 @@ 17304-17310 rgb_led_ws281x: bit: "0" 17310-17316 rgb_led_ws281x: bit: "0" 17316-17323 rgb_led_ws281x: bit: "0" +17175-17224 rgb_led_ws281x: g: "f7" +17224-17273 rgb_led_ws281x: r: "ef" +17273-17323 rgb_led_ws281x: b: "00" 17175-17323 rgb_led_ws281x: rgb: "#eff700" 17323-17329 rgb_led_ws281x: bit: "1" 17329-17335 rgb_led_ws281x: bit: "1" @@ -2097,6 +2346,9 @@ 17452-17458 rgb_led_ws281x: bit: "0" 17458-17465 rgb_led_ws281x: bit: "0" 17465-17471 rgb_led_ws281x: bit: "0" +17323-17372 rgb_led_ws281x: g: "ef" +17372-17422 rgb_led_ws281x: r: "df" +17422-17471 rgb_led_ws281x: b: "00" 17323-17471 rgb_led_ws281x: rgb: "#dfef00" 17471-17477 rgb_led_ws281x: bit: "1" 17477-17484 rgb_led_ws281x: bit: "1" @@ -2122,6 +2374,9 @@ 17600-17607 rgb_led_ws281x: bit: "0" 17607-17613 rgb_led_ws281x: bit: "0" 17613-17619 rgb_led_ws281x: bit: "0" +17471-17520 rgb_led_ws281x: g: "df" +17520-17570 rgb_led_ws281x: r: "bf" +17570-17619 rgb_led_ws281x: b: "00" 17471-17619 rgb_led_ws281x: rgb: "#bfdf00" 17619-17625 rgb_led_ws281x: bit: "1" 17625-17632 rgb_led_ws281x: bit: "1" @@ -2147,6 +2402,9 @@ 17749-17755 rgb_led_ws281x: bit: "0" 17755-17761 rgb_led_ws281x: bit: "0" 17761-17767 rgb_led_ws281x: bit: "0" +17619-17669 rgb_led_ws281x: g: "df" +17669-17718 rgb_led_ws281x: r: "7e" +17718-17767 rgb_led_ws281x: b: "00" 17619-17767 rgb_led_ws281x: rgb: "#7edf00" 17767-17774 rgb_led_ws281x: bit: "1" 17774-17780 rgb_led_ws281x: bit: "0" @@ -2172,6 +2430,9 @@ 17897-17903 rgb_led_ws281x: bit: "0" 17903-17909 rgb_led_ws281x: bit: "0" 17909-17916 rgb_led_ws281x: bit: "0" +17767-17817 rgb_led_ws281x: g: "be" +17817-17866 rgb_led_ws281x: r: "fd" +17866-17916 rgb_led_ws281x: b: "00" 17767-17916 rgb_led_ws281x: rgb: "#fdbe00" 17916-17922 rgb_led_ws281x: bit: "0" 17922-17928 rgb_led_ws281x: bit: "1" @@ -2197,6 +2458,9 @@ 18045-18051 rgb_led_ws281x: bit: "0" 18051-18057 rgb_led_ws281x: bit: "0" 18057-18064 rgb_led_ws281x: bit: "0" +17916-17965 rgb_led_ws281x: g: "7d" +17965-18014 rgb_led_ws281x: r: "fb" +18014-18064 rgb_led_ws281x: b: "00" 17916-18064 rgb_led_ws281x: rgb: "#fb7d00" 18064-18070 rgb_led_ws281x: bit: "1" 18070-18076 rgb_led_ws281x: bit: "1" @@ -2222,6 +2486,9 @@ 18193-18199 rgb_led_ws281x: bit: "0" 18199-18205 rgb_led_ws281x: bit: "0" 18205-18212 rgb_led_ws281x: bit: "0" +18064-18113 rgb_led_ws281x: g: "ff" +18113-18162 rgb_led_ws281x: r: "f7" +18162-18212 rgb_led_ws281x: b: "00" 18064-18212 rgb_led_ws281x: rgb: "#f7ff00" 18212-18218 rgb_led_ws281x: bit: "1" 18218-18224 rgb_led_ws281x: bit: "1" @@ -2247,6 +2514,9 @@ 18341-18347 rgb_led_ws281x: bit: "0" 18347-18353 rgb_led_ws281x: bit: "0" 18353-18360 rgb_led_ws281x: bit: "0" +18212-18261 rgb_led_ws281x: g: "fb" +18261-18310 rgb_led_ws281x: r: "ef" +18310-18360 rgb_led_ws281x: b: "00" 18212-18360 rgb_led_ws281x: rgb: "#effb00" 18360-18366 rgb_led_ws281x: bit: "1" 18366-18372 rgb_led_ws281x: bit: "1" @@ -2272,6 +2542,9 @@ 18489-18495 rgb_led_ws281x: bit: "0" 18495-18501 rgb_led_ws281x: bit: "0" 18501-18508 rgb_led_ws281x: bit: "0" +18360-18409 rgb_led_ws281x: g: "f7" +18409-18458 rgb_led_ws281x: r: "ef" +18458-18508 rgb_led_ws281x: b: "00" 18360-18508 rgb_led_ws281x: rgb: "#eff700" 18508-18514 rgb_led_ws281x: bit: "1" 18514-18520 rgb_led_ws281x: bit: "1" @@ -2297,6 +2570,9 @@ 18637-18643 rgb_led_ws281x: bit: "0" 18643-18650 rgb_led_ws281x: bit: "0" 18650-18656 rgb_led_ws281x: bit: "0" +18508-18557 rgb_led_ws281x: g: "ef" +18557-18607 rgb_led_ws281x: r: "df" +18607-18656 rgb_led_ws281x: b: "00" 18508-18656 rgb_led_ws281x: rgb: "#dfef00" 18656-18662 rgb_led_ws281x: bit: "1" 18662-18669 rgb_led_ws281x: bit: "1" @@ -2322,6 +2598,9 @@ 18785-18792 rgb_led_ws281x: bit: "0" 18792-18798 rgb_led_ws281x: bit: "0" 18798-18804 rgb_led_ws281x: bit: "0" +18656-18705 rgb_led_ws281x: g: "df" +18705-18755 rgb_led_ws281x: r: "be" +18755-18804 rgb_led_ws281x: b: "00" 18656-18804 rgb_led_ws281x: rgb: "#bedf00" 18804-18811 rgb_led_ws281x: bit: "1" 18811-18817 rgb_led_ws281x: bit: "0" @@ -2347,6 +2626,9 @@ 18934-18940 rgb_led_ws281x: bit: "0" 18940-18946 rgb_led_ws281x: bit: "0" 18946-18952 rgb_led_ws281x: bit: "0" +18804-18854 rgb_led_ws281x: g: "bf" +18854-18903 rgb_led_ws281x: r: "7d" +18903-18952 rgb_led_ws281x: b: "00" 18804-18952 rgb_led_ws281x: rgb: "#7dbf00" 18952-18959 rgb_led_ws281x: bit: "1" 18959-18965 rgb_led_ws281x: bit: "0" @@ -2372,6 +2654,9 @@ 19082-19088 rgb_led_ws281x: bit: "0" 19088-19094 rgb_led_ws281x: bit: "0" 19094-19101 rgb_led_ws281x: bit: "0" +18952-19002 rgb_led_ws281x: g: "be" +19002-19051 rgb_led_ws281x: r: "fd" +19051-19101 rgb_led_ws281x: b: "00" 18952-19101 rgb_led_ws281x: rgb: "#fdbe00" 19101-19107 rgb_led_ws281x: bit: "0" 19107-19113 rgb_led_ws281x: bit: "1" @@ -2397,6 +2682,9 @@ 19230-19236 rgb_led_ws281x: bit: "0" 19236-19242 rgb_led_ws281x: bit: "0" 19242-19249 rgb_led_ws281x: bit: "0" +19101-19150 rgb_led_ws281x: g: "7d" +19150-19199 rgb_led_ws281x: r: "fb" +19199-19249 rgb_led_ws281x: b: "00" 19101-19249 rgb_led_ws281x: rgb: "#fb7d00" 19249-19255 rgb_led_ws281x: bit: "1" 19255-19261 rgb_led_ws281x: bit: "1" @@ -2422,6 +2710,9 @@ 19378-19384 rgb_led_ws281x: bit: "0" 19384-19390 rgb_led_ws281x: bit: "0" 19390-19397 rgb_led_ws281x: bit: "0" +19249-19298 rgb_led_ws281x: g: "ff" +19298-19347 rgb_led_ws281x: r: "f7" +19347-19397 rgb_led_ws281x: b: "00" 19249-19397 rgb_led_ws281x: rgb: "#f7ff00" 19397-19403 rgb_led_ws281x: bit: "1" 19403-19409 rgb_led_ws281x: bit: "1" @@ -2447,6 +2738,9 @@ 19526-19532 rgb_led_ws281x: bit: "0" 19532-19538 rgb_led_ws281x: bit: "0" 19538-19545 rgb_led_ws281x: bit: "0" +19397-19446 rgb_led_ws281x: g: "fb" +19446-19495 rgb_led_ws281x: r: "ef" +19495-19545 rgb_led_ws281x: b: "00" 19397-19545 rgb_led_ws281x: rgb: "#effb00" 19545-19551 rgb_led_ws281x: bit: "1" 19551-19557 rgb_led_ws281x: bit: "1" @@ -2472,6 +2766,9 @@ 19674-19680 rgb_led_ws281x: bit: "0" 19680-19687 rgb_led_ws281x: bit: "0" 19687-19693 rgb_led_ws281x: bit: "0" +19545-19594 rgb_led_ws281x: g: "f7" +19594-19643 rgb_led_ws281x: r: "ff" +19643-19693 rgb_led_ws281x: b: "00" 19545-19693 rgb_led_ws281x: rgb: "#fff700" 19693-19699 rgb_led_ws281x: bit: "1" 19699-19705 rgb_led_ws281x: bit: "1" @@ -2497,6 +2794,9 @@ 19822-19828 rgb_led_ws281x: bit: "0" 19828-19835 rgb_led_ws281x: bit: "0" 19835-19841 rgb_led_ws281x: bit: "0" +19693-19742 rgb_led_ws281x: g: "ef" +19742-19792 rgb_led_ws281x: r: "df" +19792-19841 rgb_led_ws281x: b: "00" 19693-19841 rgb_led_ws281x: rgb: "#dfef00" 19841-19847 rgb_led_ws281x: bit: "1" 19847-19854 rgb_led_ws281x: bit: "1" @@ -2522,6 +2822,9 @@ 19970-19977 rgb_led_ws281x: bit: "0" 19977-19983 rgb_led_ws281x: bit: "0" 19983-19989 rgb_led_ws281x: bit: "0" +19841-19890 rgb_led_ws281x: g: "df" +19890-19940 rgb_led_ws281x: r: "be" +19940-19989 rgb_led_ws281x: b: "00" 19841-19989 rgb_led_ws281x: rgb: "#bedf00" 19989-19995 rgb_led_ws281x: bit: "1" 19995-20002 rgb_led_ws281x: bit: "1" @@ -2547,6 +2850,9 @@ 20119-20125 rgb_led_ws281x: bit: "0" 20125-20131 rgb_led_ws281x: bit: "0" 20131-20137 rgb_led_ws281x: bit: "0" +19989-20039 rgb_led_ws281x: g: "ff" +20039-20088 rgb_led_ws281x: r: "7f" +20088-20137 rgb_led_ws281x: b: "00" 19989-20137 rgb_led_ws281x: rgb: "#7fff00" 20137-20144 rgb_led_ws281x: bit: "1" 20144-20150 rgb_led_ws281x: bit: "0" @@ -2572,6 +2878,9 @@ 20267-20273 rgb_led_ws281x: bit: "0" 20273-20279 rgb_led_ws281x: bit: "0" 20279-20286 rgb_led_ws281x: bit: "0" +20137-20187 rgb_led_ws281x: g: "be" +20187-20236 rgb_led_ws281x: r: "ff" +20236-20286 rgb_led_ws281x: b: "00" 20137-20286 rgb_led_ws281x: rgb: "#ffbe00" 20286-20292 rgb_led_ws281x: bit: "0" 20292-20298 rgb_led_ws281x: bit: "1" @@ -2597,6 +2906,9 @@ 20415-20421 rgb_led_ws281x: bit: "0" 20421-20427 rgb_led_ws281x: bit: "0" 20427-20434 rgb_led_ws281x: bit: "0" +20286-20335 rgb_led_ws281x: g: "7d" +20335-20384 rgb_led_ws281x: r: "fb" +20384-20434 rgb_led_ws281x: b: "00" 20286-20434 rgb_led_ws281x: rgb: "#fb7d00" 20434-20440 rgb_led_ws281x: bit: "1" 20440-20446 rgb_led_ws281x: bit: "1" @@ -2622,6 +2934,9 @@ 20563-20569 rgb_led_ws281x: bit: "0" 20569-20575 rgb_led_ws281x: bit: "0" 20575-20582 rgb_led_ws281x: bit: "0" +20434-20483 rgb_led_ws281x: g: "fb" +20483-20532 rgb_led_ws281x: r: "f7" +20532-20582 rgb_led_ws281x: b: "00" 20434-20582 rgb_led_ws281x: rgb: "#f7fb00" 20582-20588 rgb_led_ws281x: bit: "1" 20588-20594 rgb_led_ws281x: bit: "1" @@ -2647,6 +2962,9 @@ 20711-20717 rgb_led_ws281x: bit: "0" 20717-20723 rgb_led_ws281x: bit: "0" 20723-20730 rgb_led_ws281x: bit: "0" +20582-20631 rgb_led_ws281x: g: "ff" +20631-20680 rgb_led_ws281x: r: "ef" +20680-20730 rgb_led_ws281x: b: "00" 20582-20730 rgb_led_ws281x: rgb: "#efff00" 20730-20736 rgb_led_ws281x: bit: "1" 20736-20742 rgb_led_ws281x: bit: "1" @@ -2672,6 +2990,9 @@ 20859-20865 rgb_led_ws281x: bit: "0" 20865-20872 rgb_led_ws281x: bit: "0" 20872-20878 rgb_led_ws281x: bit: "0" +20730-20779 rgb_led_ws281x: g: "f7" +20779-20828 rgb_led_ws281x: r: "df" +20828-20878 rgb_led_ws281x: b: "00" 20730-20878 rgb_led_ws281x: rgb: "#dff700" 20878-20884 rgb_led_ws281x: bit: "1" 20884-20890 rgb_led_ws281x: bit: "1" @@ -2697,6 +3018,9 @@ 21007-21013 rgb_led_ws281x: bit: "0" 21013-21020 rgb_led_ws281x: bit: "0" 21020-21026 rgb_led_ws281x: bit: "0" +20878-20927 rgb_led_ws281x: g: "ef" +20927-20977 rgb_led_ws281x: r: "ff" +20977-21026 rgb_led_ws281x: b: "00" 20878-21026 rgb_led_ws281x: rgb: "#ffef00" 21026-21032 rgb_led_ws281x: bit: "1" 21032-21039 rgb_led_ws281x: bit: "1" @@ -2722,6 +3046,9 @@ 21155-21162 rgb_led_ws281x: bit: "0" 21162-21168 rgb_led_ws281x: bit: "0" 21168-21174 rgb_led_ws281x: bit: "0" +21026-21075 rgb_led_ws281x: g: "df" +21075-21125 rgb_led_ws281x: r: "be" +21125-21174 rgb_led_ws281x: b: "00" 21026-21174 rgb_led_ws281x: rgb: "#bedf00" 21174-21181 rgb_led_ws281x: bit: "1" 21181-21187 rgb_led_ws281x: bit: "0" @@ -2747,6 +3074,9 @@ 21304-21310 rgb_led_ws281x: bit: "0" 21310-21316 rgb_led_ws281x: bit: "0" 21316-21323 rgb_led_ws281x: bit: "0" +21174-21224 rgb_led_ws281x: g: "bf" +21224-21273 rgb_led_ws281x: r: "7d" +21273-21323 rgb_led_ws281x: b: "00" 21174-21323 rgb_led_ws281x: rgb: "#7dbf00" 21323-21329 rgb_led_ws281x: bit: "0" 21329-21335 rgb_led_ws281x: bit: "1" @@ -2772,6 +3102,9 @@ 21452-21458 rgb_led_ws281x: bit: "0" 21458-21464 rgb_led_ws281x: bit: "0" 21464-21471 rgb_led_ws281x: bit: "0" +21323-21372 rgb_led_ws281x: g: "7e" +21372-21421 rgb_led_ws281x: r: "ff" +21421-21471 rgb_led_ws281x: b: "00" 21323-21471 rgb_led_ws281x: rgb: "#ff7e00" 21471-21477 rgb_led_ws281x: bit: "0" 21477-21483 rgb_led_ws281x: bit: "1" @@ -2797,6 +3130,9 @@ 21600-21606 rgb_led_ws281x: bit: "0" 21606-21612 rgb_led_ws281x: bit: "0" 21612-21619 rgb_led_ws281x: bit: "0" +21471-21520 rgb_led_ws281x: g: "7d" +21520-21569 rgb_led_ws281x: r: "fb" +21569-21619 rgb_led_ws281x: b: "00" 21471-21619 rgb_led_ws281x: rgb: "#fb7d00" 21619-21625 rgb_led_ws281x: bit: "1" 21625-21631 rgb_led_ws281x: bit: "1" @@ -2822,6 +3158,9 @@ 21748-21754 rgb_led_ws281x: bit: "0" 21754-21760 rgb_led_ws281x: bit: "0" 21760-21767 rgb_led_ws281x: bit: "0" +21619-21668 rgb_led_ws281x: g: "fb" +21668-21717 rgb_led_ws281x: r: "f7" +21717-21767 rgb_led_ws281x: b: "00" 21619-21767 rgb_led_ws281x: rgb: "#f7fb00" 21767-21773 rgb_led_ws281x: bit: "1" 21773-21779 rgb_led_ws281x: bit: "1" @@ -2847,6 +3186,9 @@ 21896-21902 rgb_led_ws281x: bit: "0" 21902-21908 rgb_led_ws281x: bit: "0" 21908-21915 rgb_led_ws281x: bit: "0" +21767-21816 rgb_led_ws281x: g: "ff" +21816-21865 rgb_led_ws281x: r: "ef" +21865-21915 rgb_led_ws281x: b: "00" 21767-21915 rgb_led_ws281x: rgb: "#efff00" 21915-21921 rgb_led_ws281x: bit: "1" 21921-21927 rgb_led_ws281x: bit: "1" @@ -2872,6 +3214,9 @@ 22044-22050 rgb_led_ws281x: bit: "0" 22050-22057 rgb_led_ws281x: bit: "0" 22057-22063 rgb_led_ws281x: bit: "0" +21915-21964 rgb_led_ws281x: g: "f7" +21964-22013 rgb_led_ws281x: r: "df" +22013-22063 rgb_led_ws281x: b: "00" 21915-22063 rgb_led_ws281x: rgb: "#dff700" 22063-22069 rgb_led_ws281x: bit: "1" 22069-22075 rgb_led_ws281x: bit: "1" @@ -2897,6 +3242,9 @@ 22192-22199 rgb_led_ws281x: bit: "0" 22199-22205 rgb_led_ws281x: bit: "0" 22205-22211 rgb_led_ws281x: bit: "0" +22063-22112 rgb_led_ws281x: g: "ef" +22112-22162 rgb_led_ws281x: r: "bf" +22162-22211 rgb_led_ws281x: b: "00" 22063-22211 rgb_led_ws281x: rgb: "#bfef00" 22211-22217 rgb_led_ws281x: bit: "1" 22217-22224 rgb_led_ws281x: bit: "1" @@ -2922,6 +3270,9 @@ 22340-22347 rgb_led_ws281x: bit: "0" 22347-22353 rgb_led_ws281x: bit: "0" 22353-22359 rgb_led_ws281x: bit: "0" +22211-22260 rgb_led_ws281x: g: "df" +22260-22310 rgb_led_ws281x: r: "be" +22310-22359 rgb_led_ws281x: b: "00" 22211-22359 rgb_led_ws281x: rgb: "#bedf00" 22359-22366 rgb_led_ws281x: bit: "1" 22366-22372 rgb_led_ws281x: bit: "0" @@ -2947,6 +3298,9 @@ 22489-22495 rgb_led_ws281x: bit: "0" 22495-22501 rgb_led_ws281x: bit: "0" 22501-22508 rgb_led_ws281x: bit: "0" +22359-22409 rgb_led_ws281x: g: "bf" +22409-22458 rgb_led_ws281x: r: "7d" +22458-22508 rgb_led_ws281x: b: "00" 22359-22508 rgb_led_ws281x: rgb: "#7dbf00" 22508-22514 rgb_led_ws281x: bit: "0" 22514-22520 rgb_led_ws281x: bit: "1" @@ -2972,6 +3326,9 @@ 22637-22643 rgb_led_ws281x: bit: "0" 22643-22649 rgb_led_ws281x: bit: "0" 22649-22656 rgb_led_ws281x: bit: "0" +22508-22557 rgb_led_ws281x: g: "7e" +22557-22606 rgb_led_ws281x: r: "ff" +22606-22656 rgb_led_ws281x: b: "00" 22508-22656 rgb_led_ws281x: rgb: "#ff7e00" 22656-22662 rgb_led_ws281x: bit: "1" 22662-22668 rgb_led_ws281x: bit: "1" @@ -2997,6 +3354,9 @@ 22785-22791 rgb_led_ws281x: bit: "0" 22791-22797 rgb_led_ws281x: bit: "0" 22797-22804 rgb_led_ws281x: bit: "0" +22656-22705 rgb_led_ws281x: g: "fd" +22705-22754 rgb_led_ws281x: r: "ff" +22754-22804 rgb_led_ws281x: b: "00" 22656-22804 rgb_led_ws281x: rgb: "#fffd00" 22804-22810 rgb_led_ws281x: bit: "1" 22810-22816 rgb_led_ws281x: bit: "1" @@ -3022,6 +3382,9 @@ 22933-22939 rgb_led_ws281x: bit: "0" 22939-22945 rgb_led_ws281x: bit: "0" 22945-22952 rgb_led_ws281x: bit: "0" +22804-22853 rgb_led_ws281x: g: "fb" +22853-22902 rgb_led_ws281x: r: "f7" +22902-22952 rgb_led_ws281x: b: "00" 22804-22952 rgb_led_ws281x: rgb: "#f7fb00" 22952-22958 rgb_led_ws281x: bit: "1" 22958-22964 rgb_led_ws281x: bit: "1" @@ -3047,6 +3410,9 @@ 23081-23087 rgb_led_ws281x: bit: "0" 23087-23093 rgb_led_ws281x: bit: "0" 23093-23100 rgb_led_ws281x: bit: "0" +22952-23001 rgb_led_ws281x: g: "ff" +23001-23050 rgb_led_ws281x: r: "ef" +23050-23100 rgb_led_ws281x: b: "00" 22952-23100 rgb_led_ws281x: rgb: "#efff00" 23100-23106 rgb_led_ws281x: bit: "1" 23106-23112 rgb_led_ws281x: bit: "1" @@ -3072,6 +3438,9 @@ 23229-23235 rgb_led_ws281x: bit: "0" 23235-23242 rgb_led_ws281x: bit: "0" 23242-23248 rgb_led_ws281x: bit: "0" +23100-23149 rgb_led_ws281x: g: "f7" +23149-23198 rgb_led_ws281x: r: "df" +23198-23248 rgb_led_ws281x: b: "00" 23100-23248 rgb_led_ws281x: rgb: "#dff700" 23248-23254 rgb_led_ws281x: bit: "1" 23254-23260 rgb_led_ws281x: bit: "1" @@ -3097,6 +3466,9 @@ 23377-23384 rgb_led_ws281x: bit: "0" 23384-23390 rgb_led_ws281x: bit: "0" 23390-23396 rgb_led_ws281x: bit: "0" +23248-23297 rgb_led_ws281x: g: "ef" +23297-23347 rgb_led_ws281x: r: "bf" +23347-23396 rgb_led_ws281x: b: "00" 23248-23396 rgb_led_ws281x: rgb: "#bfef00" 23396-23402 rgb_led_ws281x: bit: "1" 23402-23409 rgb_led_ws281x: bit: "1" @@ -3122,6 +3494,9 @@ 23525-23532 rgb_led_ws281x: bit: "0" 23532-23538 rgb_led_ws281x: bit: "0" 23538-23544 rgb_led_ws281x: bit: "0" +23396-23446 rgb_led_ws281x: g: "df" +23446-23495 rgb_led_ws281x: r: "7e" +23495-23544 rgb_led_ws281x: b: "00" 23396-23544 rgb_led_ws281x: rgb: "#7edf00" 23544-23551 rgb_led_ws281x: bit: "1" 23551-23557 rgb_led_ws281x: bit: "0" @@ -3147,6 +3522,9 @@ 23674-23680 rgb_led_ws281x: bit: "0" 23680-23686 rgb_led_ws281x: bit: "0" 23686-23693 rgb_led_ws281x: bit: "0" +23544-23594 rgb_led_ws281x: g: "bf" +23594-23643 rgb_led_ws281x: r: "7d" +23643-23693 rgb_led_ws281x: b: "00" 23544-23693 rgb_led_ws281x: rgb: "#7dbf00" 23693-23699 rgb_led_ws281x: bit: "0" 23699-23705 rgb_led_ws281x: bit: "1" @@ -3172,6 +3550,9 @@ 23822-23828 rgb_led_ws281x: bit: "0" 23828-23834 rgb_led_ws281x: bit: "0" 23834-23841 rgb_led_ws281x: bit: "0" +23693-23742 rgb_led_ws281x: g: "7e" +23742-23791 rgb_led_ws281x: r: "fb" +23791-23841 rgb_led_ws281x: b: "00" 23693-23841 rgb_led_ws281x: rgb: "#fb7e00" 23841-23847 rgb_led_ws281x: bit: "1" 23847-23853 rgb_led_ws281x: bit: "1" @@ -3197,6 +3578,9 @@ 23970-23976 rgb_led_ws281x: bit: "0" 23976-23982 rgb_led_ws281x: bit: "0" 23982-23989 rgb_led_ws281x: bit: "0" +23841-23890 rgb_led_ws281x: g: "fd" +23890-23939 rgb_led_ws281x: r: "ff" +23939-23989 rgb_led_ws281x: b: "00" 23841-23989 rgb_led_ws281x: rgb: "#fffd00" 23989-23995 rgb_led_ws281x: bit: "1" 23995-24001 rgb_led_ws281x: bit: "1" @@ -3222,6 +3606,9 @@ 24118-24124 rgb_led_ws281x: bit: "0" 24124-24130 rgb_led_ws281x: bit: "0" 24130-24137 rgb_led_ws281x: bit: "0" +23989-24038 rgb_led_ws281x: g: "fb" +24038-24087 rgb_led_ws281x: r: "f7" +24087-24137 rgb_led_ws281x: b: "00" 23989-24137 rgb_led_ws281x: rgb: "#f7fb00" 24137-24143 rgb_led_ws281x: bit: "1" 24143-24149 rgb_led_ws281x: bit: "1" @@ -3247,6 +3634,9 @@ 24266-24272 rgb_led_ws281x: bit: "0" 24272-24278 rgb_led_ws281x: bit: "0" 24278-24285 rgb_led_ws281x: bit: "0" +24137-24186 rgb_led_ws281x: g: "f7" +24186-24235 rgb_led_ws281x: r: "ef" +24235-24285 rgb_led_ws281x: b: "00" 24137-24285 rgb_led_ws281x: rgb: "#eff700" 24285-24291 rgb_led_ws281x: bit: "1" 24291-24297 rgb_led_ws281x: bit: "1" @@ -3272,6 +3662,9 @@ 24414-24420 rgb_led_ws281x: bit: "0" 24420-24427 rgb_led_ws281x: bit: "0" 24427-24433 rgb_led_ws281x: bit: "0" +24285-24334 rgb_led_ws281x: g: "ff" +24334-24383 rgb_led_ws281x: r: "df" +24383-24433 rgb_led_ws281x: b: "00" 24285-24433 rgb_led_ws281x: rgb: "#dfff00" 24433-24439 rgb_led_ws281x: bit: "1" 24439-24445 rgb_led_ws281x: bit: "1" @@ -3297,6 +3690,9 @@ 24562-24569 rgb_led_ws281x: bit: "0" 24569-24575 rgb_led_ws281x: bit: "0" 24575-24581 rgb_led_ws281x: bit: "0" +24433-24482 rgb_led_ws281x: g: "ef" +24482-24532 rgb_led_ws281x: r: "bf" +24532-24581 rgb_led_ws281x: b: "00" 24433-24581 rgb_led_ws281x: rgb: "#bfef00" 24581-24587 rgb_led_ws281x: bit: "1" 24587-24594 rgb_led_ws281x: bit: "1" @@ -3322,6 +3718,9 @@ 24710-24717 rgb_led_ws281x: bit: "0" 24717-24723 rgb_led_ws281x: bit: "0" 24723-24729 rgb_led_ws281x: bit: "0" +24581-24631 rgb_led_ws281x: g: "df" +24631-24680 rgb_led_ws281x: r: "7e" +24680-24729 rgb_led_ws281x: b: "00" 24581-24729 rgb_led_ws281x: rgb: "#7edf00" 24729-24736 rgb_led_ws281x: bit: "1" 24736-24742 rgb_led_ws281x: bit: "0" @@ -3347,6 +3746,9 @@ 24859-24865 rgb_led_ws281x: bit: "0" 24865-24871 rgb_led_ws281x: bit: "0" 24871-24878 rgb_led_ws281x: bit: "0" +24729-24779 rgb_led_ws281x: g: "bf" +24779-24828 rgb_led_ws281x: r: "fd" +24828-24878 rgb_led_ws281x: b: "00" 24729-24878 rgb_led_ws281x: rgb: "#fdbf00" 24878-24884 rgb_led_ws281x: bit: "0" 24884-24890 rgb_led_ws281x: bit: "1" @@ -3372,6 +3774,9 @@ 25007-25013 rgb_led_ws281x: bit: "0" 25013-25019 rgb_led_ws281x: bit: "0" 25019-25026 rgb_led_ws281x: bit: "0" +24878-24927 rgb_led_ws281x: g: "7e" +24927-24976 rgb_led_ws281x: r: "fb" +24976-25026 rgb_led_ws281x: b: "00" 24878-25026 rgb_led_ws281x: rgb: "#fb7e00" 25026-25032 rgb_led_ws281x: bit: "1" 25032-25038 rgb_led_ws281x: bit: "1" @@ -3397,6 +3802,9 @@ 25155-25161 rgb_led_ws281x: bit: "0" 25161-25167 rgb_led_ws281x: bit: "0" 25167-25174 rgb_led_ws281x: bit: "0" +25026-25075 rgb_led_ws281x: g: "fd" +25075-25124 rgb_led_ws281x: r: "ff" +25124-25174 rgb_led_ws281x: b: "00" 25026-25174 rgb_led_ws281x: rgb: "#fffd00" 25174-25180 rgb_led_ws281x: bit: "1" 25180-25186 rgb_led_ws281x: bit: "1" @@ -3422,6 +3830,9 @@ 25303-25309 rgb_led_ws281x: bit: "0" 25309-25315 rgb_led_ws281x: bit: "0" 25315-25322 rgb_led_ws281x: bit: "0" +25174-25223 rgb_led_ws281x: g: "fb" +25223-25272 rgb_led_ws281x: r: "f7" +25272-25322 rgb_led_ws281x: b: "00" 25174-25322 rgb_led_ws281x: rgb: "#f7fb00" 25322-25328 rgb_led_ws281x: bit: "1" 25328-25334 rgb_led_ws281x: bit: "1" @@ -3447,6 +3858,9 @@ 25451-25457 rgb_led_ws281x: bit: "0" 25457-25463 rgb_led_ws281x: bit: "0" 25463-25470 rgb_led_ws281x: bit: "0" +25322-25371 rgb_led_ws281x: g: "f7" +25371-25420 rgb_led_ws281x: r: "ef" +25420-25470 rgb_led_ws281x: b: "00" 25322-25470 rgb_led_ws281x: rgb: "#eff700" 25470-25476 rgb_led_ws281x: bit: "1" 25476-25482 rgb_led_ws281x: bit: "1" @@ -3472,6 +3886,9 @@ 25599-25605 rgb_led_ws281x: bit: "0" 25605-25612 rgb_led_ws281x: bit: "0" 25612-25618 rgb_led_ws281x: bit: "0" +25470-25519 rgb_led_ws281x: g: "ef" +25519-25569 rgb_led_ws281x: r: "df" +25569-25618 rgb_led_ws281x: b: "00" 25470-25618 rgb_led_ws281x: rgb: "#dfef00" 25618-25624 rgb_led_ws281x: bit: "1" 25624-25630 rgb_led_ws281x: bit: "1" @@ -3497,6 +3914,9 @@ 25747-25754 rgb_led_ws281x: bit: "0" 25754-25760 rgb_led_ws281x: bit: "0" 25760-25766 rgb_led_ws281x: bit: "0" +25618-25667 rgb_led_ws281x: g: "ef" +25667-25717 rgb_led_ws281x: r: "bf" +25717-25766 rgb_led_ws281x: b: "00" 25618-25766 rgb_led_ws281x: rgb: "#bfef00" 25766-25772 rgb_led_ws281x: bit: "1" 25772-25779 rgb_led_ws281x: bit: "1" @@ -3522,6 +3942,9 @@ 25896-25902 rgb_led_ws281x: bit: "0" 25902-25908 rgb_led_ws281x: bit: "0" 25908-25914 rgb_led_ws281x: bit: "0" +25766-25816 rgb_led_ws281x: g: "df" +25816-25865 rgb_led_ws281x: r: "7e" +25865-25914 rgb_led_ws281x: b: "00" 25766-25914 rgb_led_ws281x: rgb: "#7edf00" 25914-25921 rgb_led_ws281x: bit: "1" 25921-25927 rgb_led_ws281x: bit: "0" @@ -3547,6 +3970,9 @@ 26044-26050 rgb_led_ws281x: bit: "0" 26050-26056 rgb_led_ws281x: bit: "0" 26056-26063 rgb_led_ws281x: bit: "0" +25914-25964 rgb_led_ws281x: g: "be" +25964-26013 rgb_led_ws281x: r: "fd" +26013-26063 rgb_led_ws281x: b: "00" 25914-26063 rgb_led_ws281x: rgb: "#fdbe00" 26063-26069 rgb_led_ws281x: bit: "0" 26069-26075 rgb_led_ws281x: bit: "1" @@ -3572,6 +3998,9 @@ 26192-26198 rgb_led_ws281x: bit: "0" 26198-26204 rgb_led_ws281x: bit: "0" 26204-26211 rgb_led_ws281x: bit: "0" +26063-26112 rgb_led_ws281x: g: "7e" +26112-26161 rgb_led_ws281x: r: "fb" +26161-26211 rgb_led_ws281x: b: "00" 26063-26211 rgb_led_ws281x: rgb: "#fb7e00" 26211-26217 rgb_led_ws281x: bit: "1" 26217-26223 rgb_led_ws281x: bit: "1" @@ -3597,6 +4026,9 @@ 26340-26346 rgb_led_ws281x: bit: "0" 26346-26352 rgb_led_ws281x: bit: "0" 26352-26359 rgb_led_ws281x: bit: "0" +26211-26260 rgb_led_ws281x: g: "fd" +26260-26309 rgb_led_ws281x: r: "f7" +26309-26359 rgb_led_ws281x: b: "00" 26211-26359 rgb_led_ws281x: rgb: "#f7fd00" 26359-26365 rgb_led_ws281x: bit: "1" 26365-26371 rgb_led_ws281x: bit: "1" @@ -3622,6 +4054,9 @@ 26488-26494 rgb_led_ws281x: bit: "0" 26494-26500 rgb_led_ws281x: bit: "0" 26500-26507 rgb_led_ws281x: bit: "0" +26359-26408 rgb_led_ws281x: g: "fb" +26408-26457 rgb_led_ws281x: r: "ff" +26457-26507 rgb_led_ws281x: b: "00" 26359-26507 rgb_led_ws281x: rgb: "#fffb00" 26507-26513 rgb_led_ws281x: bit: "1" 26513-26519 rgb_led_ws281x: bit: "1" @@ -3647,6 +4082,9 @@ 26636-26642 rgb_led_ws281x: bit: "0" 26642-26648 rgb_led_ws281x: bit: "0" 26648-26655 rgb_led_ws281x: bit: "0" +26507-26556 rgb_led_ws281x: g: "f7" +26556-26605 rgb_led_ws281x: r: "ef" +26605-26655 rgb_led_ws281x: b: "00" 26507-26655 rgb_led_ws281x: rgb: "#eff700" 26655-26661 rgb_led_ws281x: bit: "1" 26661-26667 rgb_led_ws281x: bit: "1" @@ -3672,6 +4110,9 @@ 26784-26790 rgb_led_ws281x: bit: "0" 26790-26797 rgb_led_ws281x: bit: "0" 26797-26803 rgb_led_ws281x: bit: "0" +26655-26704 rgb_led_ws281x: g: "ef" +26704-26754 rgb_led_ws281x: r: "df" +26754-26803 rgb_led_ws281x: b: "00" 26655-26803 rgb_led_ws281x: rgb: "#dfef00" 26803-26809 rgb_led_ws281x: bit: "1" 26809-26815 rgb_led_ws281x: bit: "1" @@ -3697,6 +4138,9 @@ 26932-26939 rgb_led_ws281x: bit: "0" 26939-26945 rgb_led_ws281x: bit: "0" 26945-26951 rgb_led_ws281x: bit: "0" +26803-26852 rgb_led_ws281x: g: "ff" +26852-26902 rgb_led_ws281x: r: "bf" +26902-26951 rgb_led_ws281x: b: "00" 26803-26951 rgb_led_ws281x: rgb: "#bfff00" 26951-26957 rgb_led_ws281x: bit: "1" 26957-26964 rgb_led_ws281x: bit: "1" @@ -3722,6 +4166,9 @@ 27081-27087 rgb_led_ws281x: bit: "0" 27087-27093 rgb_led_ws281x: bit: "0" 27093-27099 rgb_led_ws281x: bit: "0" +26951-27001 rgb_led_ws281x: g: "df" +27001-27050 rgb_led_ws281x: r: "7e" +27050-27099 rgb_led_ws281x: b: "00" 26951-27099 rgb_led_ws281x: rgb: "#7edf00" 27099-27106 rgb_led_ws281x: bit: "1" 27106-27112 rgb_led_ws281x: bit: "0" @@ -3747,6 +4194,9 @@ 27229-27235 rgb_led_ws281x: bit: "0" 27235-27241 rgb_led_ws281x: bit: "0" 27241-27248 rgb_led_ws281x: bit: "0" +27099-27149 rgb_led_ws281x: g: "be" +27149-27198 rgb_led_ws281x: r: "fd" +27198-27248 rgb_led_ws281x: b: "00" 27099-27248 rgb_led_ws281x: rgb: "#fdbe00" 27248-27254 rgb_led_ws281x: bit: "0" 27254-27260 rgb_led_ws281x: bit: "1" @@ -3772,6 +4222,9 @@ 27377-27383 rgb_led_ws281x: bit: "0" 27383-27389 rgb_led_ws281x: bit: "0" 27389-27396 rgb_led_ws281x: bit: "0" +27248-27297 rgb_led_ws281x: g: "7d" +27297-27346 rgb_led_ws281x: r: "fb" +27346-27396 rgb_led_ws281x: b: "00" 27248-27396 rgb_led_ws281x: rgb: "#fb7d00" 27396-27402 rgb_led_ws281x: bit: "1" 27402-27408 rgb_led_ws281x: bit: "1" @@ -3797,6 +4250,9 @@ 27525-27531 rgb_led_ws281x: bit: "0" 27531-27537 rgb_led_ws281x: bit: "0" 27537-27544 rgb_led_ws281x: bit: "0" +27396-27445 rgb_led_ws281x: g: "fd" +27445-27494 rgb_led_ws281x: r: "f7" +27494-27544 rgb_led_ws281x: b: "00" 27396-27544 rgb_led_ws281x: rgb: "#f7fd00" 27544-27550 rgb_led_ws281x: bit: "1" 27550-27556 rgb_led_ws281x: bit: "1" @@ -3822,6 +4278,9 @@ 27673-27679 rgb_led_ws281x: bit: "0" 27679-27685 rgb_led_ws281x: bit: "0" 27685-27692 rgb_led_ws281x: bit: "0" +27544-27593 rgb_led_ws281x: g: "fb" +27593-27642 rgb_led_ws281x: r: "ef" +27642-27692 rgb_led_ws281x: b: "00" 27544-27692 rgb_led_ws281x: rgb: "#effb00" 27692-27698 rgb_led_ws281x: bit: "1" 27698-27704 rgb_led_ws281x: bit: "1" @@ -3847,6 +4306,9 @@ 27821-27827 rgb_led_ws281x: bit: "0" 27827-27833 rgb_led_ws281x: bit: "0" 27833-27840 rgb_led_ws281x: bit: "0" +27692-27741 rgb_led_ws281x: g: "f7" +27741-27790 rgb_led_ws281x: r: "ef" +27790-27840 rgb_led_ws281x: b: "00" 27692-27840 rgb_led_ws281x: rgb: "#eff700" 27840-27846 rgb_led_ws281x: bit: "1" 27846-27852 rgb_led_ws281x: bit: "1" @@ -3872,6 +4334,9 @@ 27969-27975 rgb_led_ws281x: bit: "0" 27975-27982 rgb_led_ws281x: bit: "0" 27982-27988 rgb_led_ws281x: bit: "0" +27840-27889 rgb_led_ws281x: g: "ef" +27889-27939 rgb_led_ws281x: r: "df" +27939-27988 rgb_led_ws281x: b: "00" 27840-27988 rgb_led_ws281x: rgb: "#dfef00" 27988-27994 rgb_led_ws281x: bit: "1" 27994-28001 rgb_led_ws281x: bit: "1" @@ -3897,6 +4362,9 @@ 28117-28124 rgb_led_ws281x: bit: "0" 28124-28130 rgb_led_ws281x: bit: "0" 28130-28136 rgb_led_ws281x: bit: "0" +27988-28037 rgb_led_ws281x: g: "df" +28037-28087 rgb_led_ws281x: r: "be" +28087-28136 rgb_led_ws281x: b: "00" 27988-28136 rgb_led_ws281x: rgb: "#bedf00" 28136-28142 rgb_led_ws281x: bit: "1" 28142-28149 rgb_led_ws281x: bit: "1" @@ -3922,6 +4390,9 @@ 28266-28272 rgb_led_ws281x: bit: "0" 28272-28278 rgb_led_ws281x: bit: "0" 28278-28284 rgb_led_ws281x: bit: "0" +28136-28186 rgb_led_ws281x: g: "df" +28186-28235 rgb_led_ws281x: r: "7f" +28235-28284 rgb_led_ws281x: b: "00" 28136-28284 rgb_led_ws281x: rgb: "#7fdf00" 28284-28291 rgb_led_ws281x: bit: "1" 28291-28297 rgb_led_ws281x: bit: "0" @@ -3947,6 +4418,9 @@ 28414-28420 rgb_led_ws281x: bit: "0" 28420-28426 rgb_led_ws281x: bit: "0" 28426-28433 rgb_led_ws281x: bit: "0" +28284-28334 rgb_led_ws281x: g: "be" +28334-28383 rgb_led_ws281x: r: "fd" +28383-28433 rgb_led_ws281x: b: "00" 28284-28433 rgb_led_ws281x: rgb: "#fdbe00" 28433-28439 rgb_led_ws281x: bit: "0" 28439-28445 rgb_led_ws281x: bit: "1" @@ -3972,6 +4446,9 @@ 28562-28568 rgb_led_ws281x: bit: "0" 28568-28574 rgb_led_ws281x: bit: "0" 28574-28581 rgb_led_ws281x: bit: "0" +28433-28482 rgb_led_ws281x: g: "7d" +28482-28531 rgb_led_ws281x: r: "fb" +28531-28581 rgb_led_ws281x: b: "00" 28433-28581 rgb_led_ws281x: rgb: "#fb7d00" 28581-28587 rgb_led_ws281x: bit: "1" 28587-28593 rgb_led_ws281x: bit: "1" @@ -3997,6 +4474,9 @@ 28710-28716 rgb_led_ws281x: bit: "0" 28716-28722 rgb_led_ws281x: bit: "0" 28722-28729 rgb_led_ws281x: bit: "0" +28581-28630 rgb_led_ws281x: g: "ff" +28630-28679 rgb_led_ws281x: r: "f7" +28679-28729 rgb_led_ws281x: b: "00" 28581-28729 rgb_led_ws281x: rgb: "#f7ff00" 28729-28735 rgb_led_ws281x: bit: "1" 28735-28741 rgb_led_ws281x: bit: "1" @@ -4022,6 +4502,9 @@ 28858-28864 rgb_led_ws281x: bit: "0" 28864-28870 rgb_led_ws281x: bit: "0" 28870-28877 rgb_led_ws281x: bit: "0" +28729-28778 rgb_led_ws281x: g: "fb" +28778-28827 rgb_led_ws281x: r: "ef" +28827-28877 rgb_led_ws281x: b: "00" 28729-28877 rgb_led_ws281x: rgb: "#effb00" 28877-28883 rgb_led_ws281x: bit: "1" 28883-28889 rgb_led_ws281x: bit: "1" @@ -4047,6 +4530,9 @@ 29006-29012 rgb_led_ws281x: bit: "0" 29012-29018 rgb_led_ws281x: bit: "0" 29018-29025 rgb_led_ws281x: bit: "0" +28877-28926 rgb_led_ws281x: g: "f7" +28926-28975 rgb_led_ws281x: r: "ff" +28975-29025 rgb_led_ws281x: b: "00" 28877-29025 rgb_led_ws281x: rgb: "#fff700" 29025-29031 rgb_led_ws281x: bit: "1" 29031-29037 rgb_led_ws281x: bit: "1" @@ -4072,6 +4558,9 @@ 29154-29160 rgb_led_ws281x: bit: "0" 29160-29167 rgb_led_ws281x: bit: "0" 29167-29173 rgb_led_ws281x: bit: "0" +29025-29074 rgb_led_ws281x: g: "ef" +29074-29124 rgb_led_ws281x: r: "df" +29124-29173 rgb_led_ws281x: b: "00" 29025-29173 rgb_led_ws281x: rgb: "#dfef00" 29173-29179 rgb_led_ws281x: bit: "1" 29179-29186 rgb_led_ws281x: bit: "1" @@ -4097,6 +4586,9 @@ 29302-29309 rgb_led_ws281x: bit: "0" 29309-29315 rgb_led_ws281x: bit: "0" 29315-29321 rgb_led_ws281x: bit: "0" +29173-29222 rgb_led_ws281x: g: "df" +29222-29272 rgb_led_ws281x: r: "be" +29272-29321 rgb_led_ws281x: b: "00" 29173-29321 rgb_led_ws281x: rgb: "#bedf00" 29321-29327 rgb_led_ws281x: bit: "1" 29327-29334 rgb_led_ws281x: bit: "1" @@ -4122,6 +4614,9 @@ 29451-29457 rgb_led_ws281x: bit: "0" 29457-29463 rgb_led_ws281x: bit: "0" 29463-29469 rgb_led_ws281x: bit: "0" +29321-29371 rgb_led_ws281x: g: "ff" +29371-29420 rgb_led_ws281x: r: "7f" +29420-29469 rgb_led_ws281x: b: "00" 29321-29469 rgb_led_ws281x: rgb: "#7fff00" 29469-29476 rgb_led_ws281x: bit: "1" 29476-29482 rgb_led_ws281x: bit: "0" @@ -4147,6 +4642,9 @@ 29599-29605 rgb_led_ws281x: bit: "0" 29605-29611 rgb_led_ws281x: bit: "0" 29611-29618 rgb_led_ws281x: bit: "0" +29469-29519 rgb_led_ws281x: g: "be" +29519-29568 rgb_led_ws281x: r: "fd" +29568-29618 rgb_led_ws281x: b: "00" 29469-29618 rgb_led_ws281x: rgb: "#fdbe00" 29618-29624 rgb_led_ws281x: bit: "0" 29624-29630 rgb_led_ws281x: bit: "1" @@ -4172,6 +4670,9 @@ 29747-29753 rgb_led_ws281x: bit: "0" 29753-29759 rgb_led_ws281x: bit: "0" 29759-29766 rgb_led_ws281x: bit: "0" +29618-29667 rgb_led_ws281x: g: "7d" +29667-29716 rgb_led_ws281x: r: "fb" +29716-29766 rgb_led_ws281x: b: "00" 29618-29766 rgb_led_ws281x: rgb: "#fb7d00" 29766-29772 rgb_led_ws281x: bit: "1" 29772-29778 rgb_led_ws281x: bit: "1" @@ -4197,6 +4698,9 @@ 29895-29901 rgb_led_ws281x: bit: "0" 29901-29907 rgb_led_ws281x: bit: "0" 29907-29914 rgb_led_ws281x: bit: "0" +29766-29815 rgb_led_ws281x: g: "ff" +29815-29864 rgb_led_ws281x: r: "f7" +29864-29914 rgb_led_ws281x: b: "00" 29766-29914 rgb_led_ws281x: rgb: "#f7ff00" 29914-29920 rgb_led_ws281x: bit: "1" 29920-29926 rgb_led_ws281x: bit: "1" @@ -4222,6 +4726,9 @@ 30043-30049 rgb_led_ws281x: bit: "0" 30049-30055 rgb_led_ws281x: bit: "0" 30055-30062 rgb_led_ws281x: bit: "0" +29914-29963 rgb_led_ws281x: g: "fb" +29963-30012 rgb_led_ws281x: r: "ef" +30012-30062 rgb_led_ws281x: b: "00" 29914-30062 rgb_led_ws281x: rgb: "#effb00" 30062-30068 rgb_led_ws281x: bit: "1" 30068-30074 rgb_led_ws281x: bit: "1" @@ -4247,6 +4754,9 @@ 30191-30197 rgb_led_ws281x: bit: "0" 30197-30204 rgb_led_ws281x: bit: "0" 30204-30210 rgb_led_ws281x: bit: "0" +30062-30111 rgb_led_ws281x: g: "f7" +30111-30160 rgb_led_ws281x: r: "df" +30160-30210 rgb_led_ws281x: b: "00" 30062-30210 rgb_led_ws281x: rgb: "#dff700" 30210-30216 rgb_led_ws281x: bit: "1" 30216-30222 rgb_led_ws281x: bit: "1" @@ -4272,6 +4782,9 @@ 30339-30345 rgb_led_ws281x: bit: "0" 30345-30352 rgb_led_ws281x: bit: "0" 30352-30358 rgb_led_ws281x: bit: "0" +30210-30259 rgb_led_ws281x: g: "ef" +30259-30309 rgb_led_ws281x: r: "bf" +30309-30358 rgb_led_ws281x: b: "00" 30210-30358 rgb_led_ws281x: rgb: "#bfef00" 30358-30364 rgb_led_ws281x: bit: "1" 30364-30371 rgb_led_ws281x: bit: "1" @@ -4297,6 +4810,9 @@ 30487-30494 rgb_led_ws281x: bit: "0" 30494-30500 rgb_led_ws281x: bit: "0" 30500-30506 rgb_led_ws281x: bit: "0" +30358-30407 rgb_led_ws281x: g: "df" +30407-30457 rgb_led_ws281x: r: "be" +30457-30506 rgb_led_ws281x: b: "00" 30358-30506 rgb_led_ws281x: rgb: "#bedf00" 30506-30513 rgb_led_ws281x: bit: "1" 30513-30519 rgb_led_ws281x: bit: "0" @@ -4322,6 +4838,9 @@ 30636-30642 rgb_led_ws281x: bit: "0" 30642-30648 rgb_led_ws281x: bit: "0" 30648-30654 rgb_led_ws281x: bit: "0" +30506-30556 rgb_led_ws281x: g: "bf" +30556-30605 rgb_led_ws281x: r: "7d" +30605-30654 rgb_led_ws281x: b: "00" 30506-30654 rgb_led_ws281x: rgb: "#7dbf00" 30654-30661 rgb_led_ws281x: bit: "1" 30661-30667 rgb_led_ws281x: bit: "1" @@ -4347,6 +4866,9 @@ 30784-30790 rgb_led_ws281x: bit: "0" 30790-30796 rgb_led_ws281x: bit: "0" 30796-30803 rgb_led_ws281x: bit: "0" +30654-30704 rgb_led_ws281x: g: "fe" +30704-30753 rgb_led_ws281x: r: "ff" +30753-30803 rgb_led_ws281x: b: "00" 30654-30803 rgb_led_ws281x: rgb: "#fffe00" 30803-30809 rgb_led_ws281x: bit: "0" 30809-30815 rgb_led_ws281x: bit: "1" @@ -4372,6 +4894,9 @@ 30932-30938 rgb_led_ws281x: bit: "0" 30938-30944 rgb_led_ws281x: bit: "0" 30944-30951 rgb_led_ws281x: bit: "0" +30803-30852 rgb_led_ws281x: g: "7d" +30852-30901 rgb_led_ws281x: r: "fb" +30901-30951 rgb_led_ws281x: b: "00" 30803-30951 rgb_led_ws281x: rgb: "#fb7d00" 30951-30957 rgb_led_ws281x: bit: "1" 30957-30963 rgb_led_ws281x: bit: "1" @@ -4397,6 +4922,9 @@ 31080-31086 rgb_led_ws281x: bit: "0" 31086-31092 rgb_led_ws281x: bit: "0" 31092-31099 rgb_led_ws281x: bit: "0" +30951-31000 rgb_led_ws281x: g: "ff" +31000-31049 rgb_led_ws281x: r: "f7" +31049-31099 rgb_led_ws281x: b: "00" 30951-31099 rgb_led_ws281x: rgb: "#f7ff00" 31099-31105 rgb_led_ws281x: bit: "1" 31105-31111 rgb_led_ws281x: bit: "1" @@ -4422,6 +4950,9 @@ 31228-31234 rgb_led_ws281x: bit: "0" 31234-31240 rgb_led_ws281x: bit: "0" 31240-31247 rgb_led_ws281x: bit: "0" +31099-31148 rgb_led_ws281x: g: "ff" +31148-31197 rgb_led_ws281x: r: "ef" +31197-31247 rgb_led_ws281x: b: "00" 31099-31247 rgb_led_ws281x: rgb: "#efff00" 31247-31253 rgb_led_ws281x: bit: "1" 31253-31259 rgb_led_ws281x: bit: "1" @@ -4447,6 +4978,9 @@ 31376-31382 rgb_led_ws281x: bit: "0" 31382-31389 rgb_led_ws281x: bit: "0" 31389-31395 rgb_led_ws281x: bit: "0" +31247-31296 rgb_led_ws281x: g: "f7" +31296-31345 rgb_led_ws281x: r: "df" +31345-31395 rgb_led_ws281x: b: "00" 31247-31395 rgb_led_ws281x: rgb: "#dff700" 31395-31401 rgb_led_ws281x: bit: "1" 31401-31407 rgb_led_ws281x: bit: "1" @@ -4472,6 +5006,9 @@ 31524-31531 rgb_led_ws281x: bit: "0" 31531-31537 rgb_led_ws281x: bit: "0" 31537-31543 rgb_led_ws281x: bit: "0" +31395-31444 rgb_led_ws281x: g: "ef" +31444-31494 rgb_led_ws281x: r: "bf" +31494-31543 rgb_led_ws281x: b: "00" 31395-31543 rgb_led_ws281x: rgb: "#bfef00" 31543-31549 rgb_led_ws281x: bit: "1" 31549-31556 rgb_led_ws281x: bit: "1" @@ -4497,6 +5034,9 @@ 31672-31679 rgb_led_ws281x: bit: "0" 31679-31685 rgb_led_ws281x: bit: "0" 31685-31691 rgb_led_ws281x: bit: "0" +31543-31592 rgb_led_ws281x: g: "df" +31592-31642 rgb_led_ws281x: r: "be" +31642-31691 rgb_led_ws281x: b: "00" 31543-31691 rgb_led_ws281x: rgb: "#bedf00" 31691-31698 rgb_led_ws281x: bit: "1" 31698-31704 rgb_led_ws281x: bit: "0" @@ -4522,6 +5062,9 @@ 31821-31827 rgb_led_ws281x: bit: "0" 31827-31833 rgb_led_ws281x: bit: "0" 31833-31840 rgb_led_ws281x: bit: "0" +31691-31741 rgb_led_ws281x: g: "bf" +31741-31790 rgb_led_ws281x: r: "7d" +31790-31840 rgb_led_ws281x: b: "00" 31691-31840 rgb_led_ws281x: rgb: "#7dbf00" 31840-31846 rgb_led_ws281x: bit: "0" 31846-31852 rgb_led_ws281x: bit: "1" @@ -4547,6 +5090,9 @@ 31969-31975 rgb_led_ws281x: bit: "0" 31975-31981 rgb_led_ws281x: bit: "0" 31981-31988 rgb_led_ws281x: bit: "0" +31840-31889 rgb_led_ws281x: g: "7e" +31889-31938 rgb_led_ws281x: r: "ff" +31938-31988 rgb_led_ws281x: b: "00" 31840-31988 rgb_led_ws281x: rgb: "#ff7e00" 31988-31994 rgb_led_ws281x: bit: "0" 31994-32000 rgb_led_ws281x: bit: "1" @@ -4572,6 +5118,9 @@ 32117-32123 rgb_led_ws281x: bit: "0" 32123-32129 rgb_led_ws281x: bit: "0" 32129-32136 rgb_led_ws281x: bit: "0" +31988-32037 rgb_led_ws281x: g: "7d" +32037-32086 rgb_led_ws281x: r: "ff" +32086-32136 rgb_led_ws281x: b: "00" 31988-32136 rgb_led_ws281x: rgb: "#ff7d00" 32136-32142 rgb_led_ws281x: bit: "1" 32142-32148 rgb_led_ws281x: bit: "1" @@ -4597,6 +5146,9 @@ 32265-32271 rgb_led_ws281x: bit: "0" 32271-32277 rgb_led_ws281x: bit: "0" 32277-32284 rgb_led_ws281x: bit: "0" +32136-32185 rgb_led_ws281x: g: "fb" +32185-32234 rgb_led_ws281x: r: "f7" +32234-32284 rgb_led_ws281x: b: "00" 32136-32284 rgb_led_ws281x: rgb: "#f7fb00" 32284-32290 rgb_led_ws281x: bit: "1" 32290-32296 rgb_led_ws281x: bit: "1" @@ -4622,6 +5174,9 @@ 32413-32419 rgb_led_ws281x: bit: "0" 32419-32425 rgb_led_ws281x: bit: "0" 32425-32432 rgb_led_ws281x: bit: "0" +32284-32333 rgb_led_ws281x: g: "ff" +32333-32382 rgb_led_ws281x: r: "ef" +32382-32432 rgb_led_ws281x: b: "00" 32284-32432 rgb_led_ws281x: rgb: "#efff00" 32432-32438 rgb_led_ws281x: bit: "1" 32438-32444 rgb_led_ws281x: bit: "1" @@ -4647,6 +5202,9 @@ 32561-32567 rgb_led_ws281x: bit: "0" 32567-32574 rgb_led_ws281x: bit: "0" 32574-32580 rgb_led_ws281x: bit: "0" +32432-32481 rgb_led_ws281x: g: "f7" +32481-32530 rgb_led_ws281x: r: "df" +32530-32580 rgb_led_ws281x: b: "00" 32432-32580 rgb_led_ws281x: rgb: "#dff700" 32580-32586 rgb_led_ws281x: bit: "1" 32586-32592 rgb_led_ws281x: bit: "1" @@ -4672,6 +5230,9 @@ 32709-32716 rgb_led_ws281x: bit: "0" 32716-32722 rgb_led_ws281x: bit: "0" 32722-32728 rgb_led_ws281x: bit: "0" +32580-32629 rgb_led_ws281x: g: "ef" +32629-32679 rgb_led_ws281x: r: "bf" +32679-32728 rgb_led_ws281x: b: "00" 32580-32728 rgb_led_ws281x: rgb: "#bfef00" 32728-32734 rgb_led_ws281x: bit: "1" 32734-32741 rgb_led_ws281x: bit: "1" @@ -4697,6 +5258,9 @@ 32857-32864 rgb_led_ws281x: bit: "0" 32864-32870 rgb_led_ws281x: bit: "0" 32870-32876 rgb_led_ws281x: bit: "0" +32728-32778 rgb_led_ws281x: g: "df" +32778-32827 rgb_led_ws281x: r: "7e" +32827-32876 rgb_led_ws281x: b: "00" 32728-32876 rgb_led_ws281x: rgb: "#7edf00" 32876-32883 rgb_led_ws281x: bit: "1" 32883-32889 rgb_led_ws281x: bit: "0" @@ -4722,6 +5286,9 @@ 33006-33012 rgb_led_ws281x: bit: "0" 33012-33018 rgb_led_ws281x: bit: "0" 33018-33025 rgb_led_ws281x: bit: "0" +32876-32926 rgb_led_ws281x: g: "bf" +32926-32975 rgb_led_ws281x: r: "7d" +32975-33025 rgb_led_ws281x: b: "00" 32876-33025 rgb_led_ws281x: rgb: "#7dbf00" 33025-33031 rgb_led_ws281x: bit: "0" 33031-33037 rgb_led_ws281x: bit: "1" @@ -4747,6 +5314,9 @@ 33154-33160 rgb_led_ws281x: bit: "0" 33160-33166 rgb_led_ws281x: bit: "0" 33166-33173 rgb_led_ws281x: bit: "0" +33025-33074 rgb_led_ws281x: g: "7e" +33074-33123 rgb_led_ws281x: r: "fb" +33123-33173 rgb_led_ws281x: b: "00" 33025-33173 rgb_led_ws281x: rgb: "#fb7e00" 33173-33179 rgb_led_ws281x: bit: "1" 33179-33185 rgb_led_ws281x: bit: "1" @@ -4772,6 +5342,9 @@ 33302-33308 rgb_led_ws281x: bit: "0" 33308-33314 rgb_led_ws281x: bit: "0" 33314-33321 rgb_led_ws281x: bit: "0" +33173-33222 rgb_led_ws281x: g: "fd" +33222-33271 rgb_led_ws281x: r: "ff" +33271-33321 rgb_led_ws281x: b: "00" 33173-33321 rgb_led_ws281x: rgb: "#fffd00" 33321-33327 rgb_led_ws281x: bit: "1" 33327-33333 rgb_led_ws281x: bit: "1" @@ -4797,6 +5370,9 @@ 33450-33456 rgb_led_ws281x: bit: "0" 33456-33462 rgb_led_ws281x: bit: "0" 33462-33469 rgb_led_ws281x: bit: "0" +33321-33370 rgb_led_ws281x: g: "fb" +33370-33419 rgb_led_ws281x: r: "f7" +33419-33469 rgb_led_ws281x: b: "00" 33321-33469 rgb_led_ws281x: rgb: "#f7fb00" 33469-33475 rgb_led_ws281x: bit: "1" 33475-33481 rgb_led_ws281x: bit: "1" @@ -4822,6 +5398,9 @@ 33598-33604 rgb_led_ws281x: bit: "0" 33604-33610 rgb_led_ws281x: bit: "0" 33610-33617 rgb_led_ws281x: bit: "0" +33469-33518 rgb_led_ws281x: g: "f7" +33518-33567 rgb_led_ws281x: r: "ef" +33567-33617 rgb_led_ws281x: b: "00" 33469-33617 rgb_led_ws281x: rgb: "#eff700" 33617-33623 rgb_led_ws281x: bit: "1" 33623-33629 rgb_led_ws281x: bit: "1" @@ -4847,6 +5426,9 @@ 33746-33752 rgb_led_ws281x: bit: "0" 33752-33759 rgb_led_ws281x: bit: "0" 33759-33765 rgb_led_ws281x: bit: "0" +33617-33666 rgb_led_ws281x: g: "f7" +33666-33715 rgb_led_ws281x: r: "df" +33715-33765 rgb_led_ws281x: b: "00" 33617-33765 rgb_led_ws281x: rgb: "#dff700" 33765-33771 rgb_led_ws281x: bit: "1" 33771-33777 rgb_led_ws281x: bit: "1" @@ -4872,6 +5454,9 @@ 33894-33901 rgb_led_ws281x: bit: "0" 33901-33907 rgb_led_ws281x: bit: "0" 33907-33913 rgb_led_ws281x: bit: "0" +33765-33814 rgb_led_ws281x: g: "ef" +33814-33864 rgb_led_ws281x: r: "bf" +33864-33913 rgb_led_ws281x: b: "00" 33765-33913 rgb_led_ws281x: rgb: "#bfef00" 33913-33919 rgb_led_ws281x: bit: "1" 33919-33926 rgb_led_ws281x: bit: "1" @@ -4897,6 +5482,9 @@ 34042-34049 rgb_led_ws281x: bit: "0" 34049-34055 rgb_led_ws281x: bit: "0" 34055-34061 rgb_led_ws281x: bit: "0" +33913-33963 rgb_led_ws281x: g: "df" +33963-34012 rgb_led_ws281x: r: "7e" +34012-34061 rgb_led_ws281x: b: "00" 33913-34061 rgb_led_ws281x: rgb: "#7edf00" 34061-34068 rgb_led_ws281x: bit: "1" 34068-34074 rgb_led_ws281x: bit: "0" @@ -4922,6 +5510,9 @@ 34191-34197 rgb_led_ws281x: bit: "0" 34197-34203 rgb_led_ws281x: bit: "0" 34203-34210 rgb_led_ws281x: bit: "0" +34061-34111 rgb_led_ws281x: g: "bf" +34111-34160 rgb_led_ws281x: r: "7d" +34160-34210 rgb_led_ws281x: b: "00" 34061-34210 rgb_led_ws281x: rgb: "#7dbf00" 34210-34216 rgb_led_ws281x: bit: "0" 34216-34222 rgb_led_ws281x: bit: "1" @@ -4947,6 +5538,9 @@ 34339-34345 rgb_led_ws281x: bit: "0" 34345-34351 rgb_led_ws281x: bit: "0" 34351-34358 rgb_led_ws281x: bit: "0" +34210-34259 rgb_led_ws281x: g: "7e" +34259-34308 rgb_led_ws281x: r: "fb" +34308-34358 rgb_led_ws281x: b: "00" 34210-34358 rgb_led_ws281x: rgb: "#fb7e00" 34358-34364 rgb_led_ws281x: bit: "1" 34364-34370 rgb_led_ws281x: bit: "1" @@ -4972,6 +5566,9 @@ 34487-34493 rgb_led_ws281x: bit: "0" 34493-34499 rgb_led_ws281x: bit: "0" 34499-34506 rgb_led_ws281x: bit: "0" +34358-34407 rgb_led_ws281x: g: "fd" +34407-34456 rgb_led_ws281x: r: "f7" +34456-34506 rgb_led_ws281x: b: "00" 34358-34506 rgb_led_ws281x: rgb: "#f7fd00" 34506-34512 rgb_led_ws281x: bit: "1" 34512-34518 rgb_led_ws281x: bit: "1" @@ -4997,6 +5594,9 @@ 34635-34641 rgb_led_ws281x: bit: "0" 34641-34647 rgb_led_ws281x: bit: "0" 34647-34654 rgb_led_ws281x: bit: "0" +34506-34555 rgb_led_ws281x: g: "fb" +34555-34604 rgb_led_ws281x: r: "f7" +34604-34654 rgb_led_ws281x: b: "00" 34506-34654 rgb_led_ws281x: rgb: "#f7fb00" 34654-34660 rgb_led_ws281x: bit: "1" 34660-34666 rgb_led_ws281x: bit: "1" @@ -5022,6 +5622,9 @@ 34783-34789 rgb_led_ws281x: bit: "0" 34789-34795 rgb_led_ws281x: bit: "0" 34795-34802 rgb_led_ws281x: bit: "0" +34654-34703 rgb_led_ws281x: g: "f7" +34703-34752 rgb_led_ws281x: r: "ef" +34752-34802 rgb_led_ws281x: b: "00" 34654-34802 rgb_led_ws281x: rgb: "#eff700" 34802-34808 rgb_led_ws281x: bit: "1" 34808-34814 rgb_led_ws281x: bit: "1" @@ -5047,6 +5650,9 @@ 34931-34937 rgb_led_ws281x: bit: "0" 34937-34944 rgb_led_ws281x: bit: "0" 34944-34950 rgb_led_ws281x: bit: "0" +34802-34851 rgb_led_ws281x: g: "ff" +34851-34901 rgb_led_ws281x: r: "df" +34901-34950 rgb_led_ws281x: b: "00" 34802-34950 rgb_led_ws281x: rgb: "#dfff00" 34950-34956 rgb_led_ws281x: bit: "1" 34956-34962 rgb_led_ws281x: bit: "1" @@ -5072,6 +5678,9 @@ 35079-35086 rgb_led_ws281x: bit: "0" 35086-35092 rgb_led_ws281x: bit: "0" 35092-35098 rgb_led_ws281x: bit: "0" +34950-34999 rgb_led_ws281x: g: "ef" +34999-35049 rgb_led_ws281x: r: "bf" +35049-35098 rgb_led_ws281x: b: "00" 34950-35098 rgb_led_ws281x: rgb: "#bfef00" 35098-35104 rgb_led_ws281x: bit: "1" 35104-35111 rgb_led_ws281x: bit: "1" @@ -5097,6 +5706,9 @@ 35228-35234 rgb_led_ws281x: bit: "0" 35234-35240 rgb_led_ws281x: bit: "0" 35240-35246 rgb_led_ws281x: bit: "0" +35098-35148 rgb_led_ws281x: g: "df" +35148-35197 rgb_led_ws281x: r: "7e" +35197-35246 rgb_led_ws281x: b: "00" 35098-35246 rgb_led_ws281x: rgb: "#7edf00" 35246-35253 rgb_led_ws281x: bit: "1" 35253-35259 rgb_led_ws281x: bit: "0" @@ -5122,6 +5734,9 @@ 35376-35382 rgb_led_ws281x: bit: "0" 35382-35388 rgb_led_ws281x: bit: "0" 35388-35395 rgb_led_ws281x: bit: "0" +35246-35296 rgb_led_ws281x: g: "be" +35296-35345 rgb_led_ws281x: r: "fd" +35345-35395 rgb_led_ws281x: b: "00" 35246-35395 rgb_led_ws281x: rgb: "#fdbe00" 35395-35401 rgb_led_ws281x: bit: "0" 35401-35407 rgb_led_ws281x: bit: "1" @@ -5147,6 +5762,9 @@ 35524-35530 rgb_led_ws281x: bit: "0" 35530-35536 rgb_led_ws281x: bit: "0" 35536-35543 rgb_led_ws281x: bit: "0" +35395-35444 rgb_led_ws281x: g: "7e" +35444-35493 rgb_led_ws281x: r: "fb" +35493-35543 rgb_led_ws281x: b: "00" 35395-35543 rgb_led_ws281x: rgb: "#fb7e00" 35543-35549 rgb_led_ws281x: bit: "1" 35549-35555 rgb_led_ws281x: bit: "1" @@ -5172,6 +5790,9 @@ 35672-35678 rgb_led_ws281x: bit: "0" 35678-35684 rgb_led_ws281x: bit: "0" 35684-35691 rgb_led_ws281x: bit: "0" +35543-35592 rgb_led_ws281x: g: "fd" +35592-35641 rgb_led_ws281x: r: "f7" +35641-35691 rgb_led_ws281x: b: "00" 35543-35691 rgb_led_ws281x: rgb: "#f7fd00" 35691-35697 rgb_led_ws281x: bit: "1" 35697-35703 rgb_led_ws281x: bit: "1" @@ -5197,6 +5818,9 @@ 35820-35826 rgb_led_ws281x: bit: "0" 35826-35832 rgb_led_ws281x: bit: "0" 35832-35839 rgb_led_ws281x: bit: "0" +35691-35740 rgb_led_ws281x: g: "fb" +35740-35789 rgb_led_ws281x: r: "f7" +35789-35839 rgb_led_ws281x: b: "00" 35691-35839 rgb_led_ws281x: rgb: "#f7fb00" 35839-35845 rgb_led_ws281x: bit: "1" 35845-35851 rgb_led_ws281x: bit: "1" @@ -5222,6 +5846,9 @@ 35968-35974 rgb_led_ws281x: bit: "0" 35974-35980 rgb_led_ws281x: bit: "0" 35980-35987 rgb_led_ws281x: bit: "0" +35839-35888 rgb_led_ws281x: g: "f7" +35888-35937 rgb_led_ws281x: r: "ef" +35937-35987 rgb_led_ws281x: b: "00" 35839-35987 rgb_led_ws281x: rgb: "#eff700" 35987-35993 rgb_led_ws281x: bit: "1" 35993-35999 rgb_led_ws281x: bit: "1" @@ -5247,6 +5874,9 @@ 36116-36122 rgb_led_ws281x: bit: "0" 36122-36129 rgb_led_ws281x: bit: "0" 36129-36135 rgb_led_ws281x: bit: "0" +35987-36036 rgb_led_ws281x: g: "ef" +36036-36086 rgb_led_ws281x: r: "df" +36086-36135 rgb_led_ws281x: b: "00" 35987-36135 rgb_led_ws281x: rgb: "#dfef00" 36135-36141 rgb_led_ws281x: bit: "1" 36141-36147 rgb_led_ws281x: bit: "1" @@ -5272,6 +5902,9 @@ 36264-36271 rgb_led_ws281x: bit: "0" 36271-36277 rgb_led_ws281x: bit: "0" 36277-36283 rgb_led_ws281x: bit: "0" +36135-36184 rgb_led_ws281x: g: "ef" +36184-36234 rgb_led_ws281x: r: "bf" +36234-36283 rgb_led_ws281x: b: "00" 36135-36283 rgb_led_ws281x: rgb: "#bfef00" 36283-36289 rgb_led_ws281x: bit: "1" 36289-36296 rgb_led_ws281x: bit: "1" @@ -5297,6 +5930,9 @@ 36413-36419 rgb_led_ws281x: bit: "0" 36419-36425 rgb_led_ws281x: bit: "0" 36425-36431 rgb_led_ws281x: bit: "0" +36283-36333 rgb_led_ws281x: g: "df" +36333-36382 rgb_led_ws281x: r: "7e" +36382-36431 rgb_led_ws281x: b: "00" 36283-36431 rgb_led_ws281x: rgb: "#7edf00" 36431-36438 rgb_led_ws281x: bit: "1" 36438-36444 rgb_led_ws281x: bit: "0" @@ -5322,6 +5958,9 @@ 36561-36567 rgb_led_ws281x: bit: "0" 36567-36573 rgb_led_ws281x: bit: "0" 36573-36580 rgb_led_ws281x: bit: "0" +36431-36481 rgb_led_ws281x: g: "be" +36481-36530 rgb_led_ws281x: r: "fd" +36530-36580 rgb_led_ws281x: b: "00" 36431-36580 rgb_led_ws281x: rgb: "#fdbe00" 36580-36586 rgb_led_ws281x: bit: "0" 36586-36592 rgb_led_ws281x: bit: "1" @@ -5347,6 +5986,9 @@ 36709-36715 rgb_led_ws281x: bit: "0" 36715-36721 rgb_led_ws281x: bit: "0" 36721-36728 rgb_led_ws281x: bit: "0" +36580-36629 rgb_led_ws281x: g: "7d" +36629-36678 rgb_led_ws281x: r: "fb" +36678-36728 rgb_led_ws281x: b: "00" 36580-36728 rgb_led_ws281x: rgb: "#fb7d00" 36728-36734 rgb_led_ws281x: bit: "1" 36734-36740 rgb_led_ws281x: bit: "1" @@ -5372,6 +6014,9 @@ 36857-36863 rgb_led_ws281x: bit: "0" 36863-36869 rgb_led_ws281x: bit: "0" 36869-36876 rgb_led_ws281x: bit: "0" +36728-36777 rgb_led_ws281x: g: "fd" +36777-36826 rgb_led_ws281x: r: "f7" +36826-36876 rgb_led_ws281x: b: "00" 36728-36876 rgb_led_ws281x: rgb: "#f7fd00" 36876-36882 rgb_led_ws281x: bit: "1" 36882-36888 rgb_led_ws281x: bit: "1" @@ -5397,6 +6042,9 @@ 37005-37011 rgb_led_ws281x: bit: "0" 37011-37017 rgb_led_ws281x: bit: "0" 37017-37024 rgb_led_ws281x: bit: "0" +36876-36925 rgb_led_ws281x: g: "fb" +36925-36974 rgb_led_ws281x: r: "ff" +36974-37024 rgb_led_ws281x: b: "00" 36876-37024 rgb_led_ws281x: rgb: "#fffb00" 37024-37030 rgb_led_ws281x: bit: "1" 37030-37036 rgb_led_ws281x: bit: "1" @@ -5422,6 +6070,9 @@ 37153-37159 rgb_led_ws281x: bit: "0" 37159-37165 rgb_led_ws281x: bit: "0" 37165-37172 rgb_led_ws281x: bit: "0" +37024-37073 rgb_led_ws281x: g: "f7" +37073-37122 rgb_led_ws281x: r: "ef" +37122-37172 rgb_led_ws281x: b: "00" 37024-37172 rgb_led_ws281x: rgb: "#eff700" 37172-37178 rgb_led_ws281x: bit: "1" 37178-37184 rgb_led_ws281x: bit: "1" @@ -5447,6 +6098,9 @@ 37301-37307 rgb_led_ws281x: bit: "0" 37307-37314 rgb_led_ws281x: bit: "0" 37314-37320 rgb_led_ws281x: bit: "0" +37172-37221 rgb_led_ws281x: g: "ef" +37221-37271 rgb_led_ws281x: r: "df" +37271-37320 rgb_led_ws281x: b: "00" 37172-37320 rgb_led_ws281x: rgb: "#dfef00" 37320-37326 rgb_led_ws281x: bit: "1" 37326-37333 rgb_led_ws281x: bit: "1" @@ -5472,6 +6126,9 @@ 37449-37456 rgb_led_ws281x: bit: "0" 37456-37462 rgb_led_ws281x: bit: "0" 37462-37468 rgb_led_ws281x: bit: "0" +37320-37369 rgb_led_ws281x: g: "df" +37369-37419 rgb_led_ws281x: r: "be" +37419-37468 rgb_led_ws281x: b: "00" 37320-37468 rgb_led_ws281x: rgb: "#bedf00" 37468-37474 rgb_led_ws281x: bit: "1" 37474-37481 rgb_led_ws281x: bit: "1" @@ -5497,6 +6154,9 @@ 37598-37604 rgb_led_ws281x: bit: "0" 37604-37610 rgb_led_ws281x: bit: "0" 37610-37616 rgb_led_ws281x: bit: "0" +37468-37518 rgb_led_ws281x: g: "df" +37518-37567 rgb_led_ws281x: r: "7f" +37567-37616 rgb_led_ws281x: b: "00" 37468-37616 rgb_led_ws281x: rgb: "#7fdf00" 37616-37623 rgb_led_ws281x: bit: "1" 37623-37629 rgb_led_ws281x: bit: "0" @@ -5522,6 +6182,9 @@ 37746-37752 rgb_led_ws281x: bit: "0" 37752-37758 rgb_led_ws281x: bit: "0" 37758-37765 rgb_led_ws281x: bit: "0" +37616-37666 rgb_led_ws281x: g: "be" +37666-37715 rgb_led_ws281x: r: "fd" +37715-37765 rgb_led_ws281x: b: "00" 37616-37765 rgb_led_ws281x: rgb: "#fdbe00" 37765-37771 rgb_led_ws281x: bit: "0" 37771-37777 rgb_led_ws281x: bit: "1" @@ -5547,6 +6210,9 @@ 37894-37900 rgb_led_ws281x: bit: "0" 37900-37906 rgb_led_ws281x: bit: "0" 37906-37913 rgb_led_ws281x: bit: "0" +37765-37814 rgb_led_ws281x: g: "7d" +37814-37863 rgb_led_ws281x: r: "fb" +37863-37913 rgb_led_ws281x: b: "00" 37765-37913 rgb_led_ws281x: rgb: "#fb7d00" 37913-37919 rgb_led_ws281x: bit: "1" 37919-37925 rgb_led_ws281x: bit: "1" @@ -5572,6 +6238,9 @@ 38042-38048 rgb_led_ws281x: bit: "0" 38048-38054 rgb_led_ws281x: bit: "0" 38054-38061 rgb_led_ws281x: bit: "0" +37913-37962 rgb_led_ws281x: g: "fd" +37962-38011 rgb_led_ws281x: r: "f7" +38011-38061 rgb_led_ws281x: b: "00" 37913-38061 rgb_led_ws281x: rgb: "#f7fd00" 38061-38067 rgb_led_ws281x: bit: "1" 38067-38073 rgb_led_ws281x: bit: "1" @@ -5597,6 +6266,9 @@ 38190-38196 rgb_led_ws281x: bit: "0" 38196-38202 rgb_led_ws281x: bit: "0" 38202-38209 rgb_led_ws281x: bit: "0" +38061-38110 rgb_led_ws281x: g: "fb" +38110-38159 rgb_led_ws281x: r: "ef" +38159-38209 rgb_led_ws281x: b: "00" 38061-38209 rgb_led_ws281x: rgb: "#effb00" 38209-38215 rgb_led_ws281x: bit: "1" 38215-38221 rgb_led_ws281x: bit: "1" @@ -5622,6 +6294,9 @@ 38338-38344 rgb_led_ws281x: bit: "0" 38344-38350 rgb_led_ws281x: bit: "0" 38350-38357 rgb_led_ws281x: bit: "0" +38209-38258 rgb_led_ws281x: g: "f7" +38258-38307 rgb_led_ws281x: r: "ff" +38307-38357 rgb_led_ws281x: b: "00" 38209-38357 rgb_led_ws281x: rgb: "#fff700" 38357-38363 rgb_led_ws281x: bit: "1" 38363-38369 rgb_led_ws281x: bit: "1" @@ -5647,6 +6322,9 @@ 38486-38492 rgb_led_ws281x: bit: "0" 38492-38499 rgb_led_ws281x: bit: "0" 38499-38505 rgb_led_ws281x: bit: "0" +38357-38406 rgb_led_ws281x: g: "ef" +38406-38456 rgb_led_ws281x: r: "df" +38456-38505 rgb_led_ws281x: b: "00" 38357-38505 rgb_led_ws281x: rgb: "#dfef00" 38505-38511 rgb_led_ws281x: bit: "1" 38511-38518 rgb_led_ws281x: bit: "1" @@ -5672,6 +6350,9 @@ 38634-38641 rgb_led_ws281x: bit: "0" 38641-38647 rgb_led_ws281x: bit: "0" 38647-38653 rgb_led_ws281x: bit: "0" +38505-38554 rgb_led_ws281x: g: "df" +38554-38604 rgb_led_ws281x: r: "be" +38604-38653 rgb_led_ws281x: b: "00" 38505-38653 rgb_led_ws281x: rgb: "#bedf00" 38653-38660 rgb_led_ws281x: bit: "1" 38660-38666 rgb_led_ws281x: bit: "0" @@ -5697,6 +6378,9 @@ 38783-38789 rgb_led_ws281x: bit: "0" 38789-38795 rgb_led_ws281x: bit: "0" 38795-38801 rgb_led_ws281x: bit: "0" +38653-38703 rgb_led_ws281x: g: "bf" +38703-38752 rgb_led_ws281x: r: "7f" +38752-38801 rgb_led_ws281x: b: "00" 38653-38801 rgb_led_ws281x: rgb: "#7fbf00" 38801-38808 rgb_led_ws281x: bit: "1" 38808-38814 rgb_led_ws281x: bit: "0" @@ -5722,6 +6406,9 @@ 38931-38937 rgb_led_ws281x: bit: "0" 38937-38943 rgb_led_ws281x: bit: "0" 38943-38950 rgb_led_ws281x: bit: "0" +38801-38851 rgb_led_ws281x: g: "be" +38851-38900 rgb_led_ws281x: r: "fd" +38900-38950 rgb_led_ws281x: b: "00" 38801-38950 rgb_led_ws281x: rgb: "#fdbe00" 38950-38956 rgb_led_ws281x: bit: "0" 38956-38962 rgb_led_ws281x: bit: "1" @@ -5747,6 +6434,9 @@ 39079-39085 rgb_led_ws281x: bit: "0" 39085-39091 rgb_led_ws281x: bit: "0" 39091-39098 rgb_led_ws281x: bit: "0" +38950-38999 rgb_led_ws281x: g: "7d" +38999-39048 rgb_led_ws281x: r: "fb" +39048-39098 rgb_led_ws281x: b: "00" 38950-39098 rgb_led_ws281x: rgb: "#fb7d00" 39098-39104 rgb_led_ws281x: bit: "1" 39104-39110 rgb_led_ws281x: bit: "1" @@ -5772,6 +6462,9 @@ 39227-39233 rgb_led_ws281x: bit: "0" 39233-39239 rgb_led_ws281x: bit: "0" 39239-39246 rgb_led_ws281x: bit: "0" +39098-39147 rgb_led_ws281x: g: "ff" +39147-39196 rgb_led_ws281x: r: "f7" +39196-39246 rgb_led_ws281x: b: "00" 39098-39246 rgb_led_ws281x: rgb: "#f7ff00" 39246-39252 rgb_led_ws281x: bit: "1" 39252-39258 rgb_led_ws281x: bit: "1" @@ -5797,6 +6490,9 @@ 39375-39381 rgb_led_ws281x: bit: "0" 39381-39387 rgb_led_ws281x: bit: "0" 39387-39394 rgb_led_ws281x: bit: "0" +39246-39295 rgb_led_ws281x: g: "fb" +39295-39344 rgb_led_ws281x: r: "ef" +39344-39394 rgb_led_ws281x: b: "00" 39246-39394 rgb_led_ws281x: rgb: "#effb00" 39394-39400 rgb_led_ws281x: bit: "1" 39400-39406 rgb_led_ws281x: bit: "1" @@ -5822,6 +6518,9 @@ 39523-39529 rgb_led_ws281x: bit: "0" 39529-39536 rgb_led_ws281x: bit: "0" 39536-39542 rgb_led_ws281x: bit: "0" +39394-39443 rgb_led_ws281x: g: "f7" +39443-39492 rgb_led_ws281x: r: "df" +39492-39542 rgb_led_ws281x: b: "00" 39394-39542 rgb_led_ws281x: rgb: "#dff700" 39542-39548 rgb_led_ws281x: bit: "1" 39548-39554 rgb_led_ws281x: bit: "1" @@ -5847,6 +6546,9 @@ 39671-39677 rgb_led_ws281x: bit: "0" 39677-39684 rgb_led_ws281x: bit: "0" 39684-39690 rgb_led_ws281x: bit: "0" +39542-39591 rgb_led_ws281x: g: "ef" +39591-39641 rgb_led_ws281x: r: "df" +39641-39690 rgb_led_ws281x: b: "00" 39542-39690 rgb_led_ws281x: rgb: "#dfef00" 39690-39696 rgb_led_ws281x: bit: "1" 39696-39703 rgb_led_ws281x: bit: "1" @@ -5872,6 +6574,9 @@ 39819-39826 rgb_led_ws281x: bit: "0" 39826-39832 rgb_led_ws281x: bit: "0" 39832-39838 rgb_led_ws281x: bit: "0" +39690-39739 rgb_led_ws281x: g: "df" +39739-39789 rgb_led_ws281x: r: "be" +39789-39838 rgb_led_ws281x: b: "00" 39690-39838 rgb_led_ws281x: rgb: "#bedf00" 39838-39845 rgb_led_ws281x: bit: "1" 39845-39851 rgb_led_ws281x: bit: "0" @@ -5897,6 +6602,9 @@ 39968-39974 rgb_led_ws281x: bit: "0" 39974-39980 rgb_led_ws281x: bit: "0" 39980-39986 rgb_led_ws281x: bit: "0" +39838-39888 rgb_led_ws281x: g: "bf" +39888-39937 rgb_led_ws281x: r: "7d" +39937-39986 rgb_led_ws281x: b: "00" 39838-39986 rgb_led_ws281x: rgb: "#7dbf00" 39986-39993 rgb_led_ws281x: bit: "1" 39993-39999 rgb_led_ws281x: bit: "1" @@ -5922,6 +6630,9 @@ 40116-40122 rgb_led_ws281x: bit: "0" 40122-40128 rgb_led_ws281x: bit: "0" 40128-40135 rgb_led_ws281x: bit: "0" +39986-40036 rgb_led_ws281x: g: "fe" +40036-40085 rgb_led_ws281x: r: "fd" +40085-40135 rgb_led_ws281x: b: "00" 39986-40135 rgb_led_ws281x: rgb: "#fdfe00" 40135-40141 rgb_led_ws281x: bit: "0" 40141-40147 rgb_led_ws281x: bit: "1" @@ -5947,6 +6658,9 @@ 40264-40270 rgb_led_ws281x: bit: "0" 40270-40276 rgb_led_ws281x: bit: "0" 40276-40283 rgb_led_ws281x: bit: "0" +40135-40184 rgb_led_ws281x: g: "7d" +40184-40233 rgb_led_ws281x: r: "fb" +40233-40283 rgb_led_ws281x: b: "00" 40135-40283 rgb_led_ws281x: rgb: "#fb7d00" 40283-40289 rgb_led_ws281x: bit: "1" 40289-40295 rgb_led_ws281x: bit: "1" @@ -5972,6 +6686,9 @@ 40412-40418 rgb_led_ws281x: bit: "0" 40418-40424 rgb_led_ws281x: bit: "0" 40424-40431 rgb_led_ws281x: bit: "0" +40283-40332 rgb_led_ws281x: g: "fb" +40332-40381 rgb_led_ws281x: r: "f7" +40381-40431 rgb_led_ws281x: b: "00" 40283-40431 rgb_led_ws281x: rgb: "#f7fb00" 40431-40437 rgb_led_ws281x: bit: "1" 40437-40443 rgb_led_ws281x: bit: "1" @@ -5997,6 +6714,9 @@ 40560-40566 rgb_led_ws281x: bit: "0" 40566-40572 rgb_led_ws281x: bit: "0" 40572-40579 rgb_led_ws281x: bit: "0" +40431-40480 rgb_led_ws281x: g: "ff" +40480-40529 rgb_led_ws281x: r: "ef" +40529-40579 rgb_led_ws281x: b: "00" 40431-40579 rgb_led_ws281x: rgb: "#efff00" 40579-40585 rgb_led_ws281x: bit: "1" 40585-40591 rgb_led_ws281x: bit: "1" @@ -6022,6 +6742,9 @@ 40708-40714 rgb_led_ws281x: bit: "0" 40714-40721 rgb_led_ws281x: bit: "0" 40721-40727 rgb_led_ws281x: bit: "0" +40579-40628 rgb_led_ws281x: g: "f7" +40628-40677 rgb_led_ws281x: r: "df" +40677-40727 rgb_led_ws281x: b: "00" 40579-40727 rgb_led_ws281x: rgb: "#dff700" 40727-40733 rgb_led_ws281x: bit: "1" 40733-40739 rgb_led_ws281x: bit: "1" @@ -6047,6 +6770,9 @@ 40856-40863 rgb_led_ws281x: bit: "0" 40863-40869 rgb_led_ws281x: bit: "0" 40869-40875 rgb_led_ws281x: bit: "0" +40727-40776 rgb_led_ws281x: g: "ef" +40776-40826 rgb_led_ws281x: r: "ff" +40826-40875 rgb_led_ws281x: b: "00" 40727-40875 rgb_led_ws281x: rgb: "#ffef00" 40875-40881 rgb_led_ws281x: bit: "1" 40881-40888 rgb_led_ws281x: bit: "1" @@ -6072,6 +6798,9 @@ 41004-41011 rgb_led_ws281x: bit: "0" 41011-41017 rgb_led_ws281x: bit: "0" 41017-41023 rgb_led_ws281x: bit: "0" +40875-40924 rgb_led_ws281x: g: "df" +40924-40974 rgb_led_ws281x: r: "be" +40974-41023 rgb_led_ws281x: b: "00" 40875-41023 rgb_led_ws281x: rgb: "#bedf00" 41023-41030 rgb_led_ws281x: bit: "1" 41030-41036 rgb_led_ws281x: bit: "0" @@ -6097,6 +6826,9 @@ 41153-41159 rgb_led_ws281x: bit: "0" 41159-41165 rgb_led_ws281x: bit: "0" 41165-41172 rgb_led_ws281x: bit: "0" +41023-41073 rgb_led_ws281x: g: "bf" +41073-41122 rgb_led_ws281x: r: "7d" +41122-41172 rgb_led_ws281x: b: "00" 41023-41172 rgb_led_ws281x: rgb: "#7dbf00" 41172-41178 rgb_led_ws281x: bit: "0" 41178-41184 rgb_led_ws281x: bit: "1" @@ -6122,6 +6854,9 @@ 41301-41307 rgb_led_ws281x: bit: "0" 41307-41313 rgb_led_ws281x: bit: "0" 41313-41320 rgb_led_ws281x: bit: "0" +41172-41221 rgb_led_ws281x: g: "7e" +41221-41270 rgb_led_ws281x: r: "ff" +41270-41320 rgb_led_ws281x: b: "00" 41172-41320 rgb_led_ws281x: rgb: "#ff7e00" 41320-41326 rgb_led_ws281x: bit: "0" 41326-41332 rgb_led_ws281x: bit: "1" @@ -6147,6 +6882,9 @@ 41449-41455 rgb_led_ws281x: bit: "0" 41455-41461 rgb_led_ws281x: bit: "0" 41461-41468 rgb_led_ws281x: bit: "0" +41320-41369 rgb_led_ws281x: g: "7d" +41369-41418 rgb_led_ws281x: r: "ff" +41418-41468 rgb_led_ws281x: b: "00" 41320-41468 rgb_led_ws281x: rgb: "#ff7d00" 41468-41474 rgb_led_ws281x: bit: "1" 41474-41480 rgb_led_ws281x: bit: "1" @@ -6172,6 +6910,9 @@ 41597-41603 rgb_led_ws281x: bit: "0" 41603-41609 rgb_led_ws281x: bit: "0" 41609-41616 rgb_led_ws281x: bit: "0" +41468-41517 rgb_led_ws281x: g: "fb" +41517-41566 rgb_led_ws281x: r: "f7" +41566-41616 rgb_led_ws281x: b: "00" 41468-41616 rgb_led_ws281x: rgb: "#f7fb00" 41616-41622 rgb_led_ws281x: bit: "1" 41622-41628 rgb_led_ws281x: bit: "1" @@ -6197,6 +6938,9 @@ 41745-41751 rgb_led_ws281x: bit: "0" 41751-41757 rgb_led_ws281x: bit: "0" 41757-41764 rgb_led_ws281x: bit: "0" +41616-41665 rgb_led_ws281x: g: "ff" +41665-41714 rgb_led_ws281x: r: "ef" +41714-41764 rgb_led_ws281x: b: "00" 41616-41764 rgb_led_ws281x: rgb: "#efff00" 41764-41770 rgb_led_ws281x: bit: "1" 41770-41776 rgb_led_ws281x: bit: "1" @@ -6222,6 +6966,9 @@ 41893-41899 rgb_led_ws281x: bit: "0" 41899-41906 rgb_led_ws281x: bit: "0" 41906-41912 rgb_led_ws281x: bit: "0" +41764-41813 rgb_led_ws281x: g: "f7" +41813-41862 rgb_led_ws281x: r: "df" +41862-41912 rgb_led_ws281x: b: "00" 41764-41912 rgb_led_ws281x: rgb: "#dff700" 41912-41918 rgb_led_ws281x: bit: "1" 41918-41924 rgb_led_ws281x: bit: "1" @@ -6247,6 +6994,9 @@ 42041-42048 rgb_led_ws281x: bit: "0" 42048-42054 rgb_led_ws281x: bit: "0" 42054-42060 rgb_led_ws281x: bit: "0" +41912-41961 rgb_led_ws281x: g: "ef" +41961-42011 rgb_led_ws281x: r: "bf" +42011-42060 rgb_led_ws281x: b: "00" 41912-42060 rgb_led_ws281x: rgb: "#bfef00" 42060-42066 rgb_led_ws281x: bit: "1" 42066-42073 rgb_led_ws281x: bit: "1" @@ -6272,6 +7022,9 @@ 42189-42196 rgb_led_ws281x: bit: "0" 42196-42202 rgb_led_ws281x: bit: "0" 42202-42208 rgb_led_ws281x: bit: "0" +42060-42110 rgb_led_ws281x: g: "df" +42110-42159 rgb_led_ws281x: r: "7e" +42159-42208 rgb_led_ws281x: b: "00" 42060-42208 rgb_led_ws281x: rgb: "#7edf00" 42208-42215 rgb_led_ws281x: bit: "1" 42215-42221 rgb_led_ws281x: bit: "0" @@ -6297,6 +7050,9 @@ 42338-42344 rgb_led_ws281x: bit: "0" 42344-42350 rgb_led_ws281x: bit: "0" 42350-42357 rgb_led_ws281x: bit: "0" +42208-42258 rgb_led_ws281x: g: "bf" +42258-42307 rgb_led_ws281x: r: "7d" +42307-42357 rgb_led_ws281x: b: "00" 42208-42357 rgb_led_ws281x: rgb: "#7dbf00" 42357-42363 rgb_led_ws281x: bit: "0" 42363-42369 rgb_led_ws281x: bit: "1" @@ -6322,6 +7078,9 @@ 42486-42492 rgb_led_ws281x: bit: "0" 42492-42498 rgb_led_ws281x: bit: "0" 42498-42505 rgb_led_ws281x: bit: "0" +42357-42406 rgb_led_ws281x: g: "7e" +42406-42455 rgb_led_ws281x: r: "ff" +42455-42505 rgb_led_ws281x: b: "00" 42357-42505 rgb_led_ws281x: rgb: "#ff7e00" 42505-42511 rgb_led_ws281x: bit: "1" 42511-42517 rgb_led_ws281x: bit: "1" @@ -6347,6 +7106,9 @@ 42634-42640 rgb_led_ws281x: bit: "0" 42640-42646 rgb_led_ws281x: bit: "0" 42646-42653 rgb_led_ws281x: bit: "0" +42505-42554 rgb_led_ws281x: g: "fd" +42554-42603 rgb_led_ws281x: r: "ff" +42603-42653 rgb_led_ws281x: b: "00" 42505-42653 rgb_led_ws281x: rgb: "#fffd00" 42653-42659 rgb_led_ws281x: bit: "1" 42659-42665 rgb_led_ws281x: bit: "1" @@ -6371,6 +7133,9 @@ 42776-42782 rgb_led_ws281x: bit: "0" 42782-42788 rgb_led_ws281x: bit: "0" 42788-42794 rgb_led_ws281x: bit: "0" +42653-42702 rgb_led_ws281x: g: "fb" +42702-42751 rgb_led_ws281x: r: "f7" +42751-42796 rgb_led_ws281x: b: "00" 42653-42796 rgb_led_ws281x: rgb: "#f7fb00" 42794-42796 rgb_led_ws281x: bit: "0" 42796-43047 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -6398,6 +7163,9 @@ 54722-54728 rgb_led_ws281x: bit: "0" 54728-54734 rgb_led_ws281x: bit: "0" 54734-54741 rgb_led_ws281x: bit: "0" +54593-54642 rgb_led_ws281x: g: "7d" +54642-54691 rgb_led_ws281x: r: "fb" +54691-54741 rgb_led_ws281x: b: "00" 54593-54741 rgb_led_ws281x: rgb: "#fb7d00" 54741-54747 rgb_led_ws281x: bit: "1" 54747-54753 rgb_led_ws281x: bit: "1" @@ -6423,6 +7191,9 @@ 54870-54876 rgb_led_ws281x: bit: "0" 54876-54882 rgb_led_ws281x: bit: "0" 54882-54889 rgb_led_ws281x: bit: "0" +54741-54790 rgb_led_ws281x: g: "ff" +54790-54839 rgb_led_ws281x: r: "f7" +54839-54889 rgb_led_ws281x: b: "00" 54741-54889 rgb_led_ws281x: rgb: "#f7ff00" 54889-54895 rgb_led_ws281x: bit: "1" 54895-54901 rgb_led_ws281x: bit: "1" @@ -6448,6 +7219,9 @@ 55018-55024 rgb_led_ws281x: bit: "0" 55024-55030 rgb_led_ws281x: bit: "0" 55030-55037 rgb_led_ws281x: bit: "0" +54889-54938 rgb_led_ws281x: g: "fb" +54938-54987 rgb_led_ws281x: r: "ef" +54987-55037 rgb_led_ws281x: b: "00" 54889-55037 rgb_led_ws281x: rgb: "#effb00" 55037-55043 rgb_led_ws281x: bit: "1" 55043-55049 rgb_led_ws281x: bit: "1" @@ -6473,6 +7247,9 @@ 55166-55172 rgb_led_ws281x: bit: "0" 55172-55179 rgb_led_ws281x: bit: "0" 55179-55185 rgb_led_ws281x: bit: "0" +55037-55086 rgb_led_ws281x: g: "f7" +55086-55135 rgb_led_ws281x: r: "df" +55135-55185 rgb_led_ws281x: b: "00" 55037-55185 rgb_led_ws281x: rgb: "#dff700" 55185-55191 rgb_led_ws281x: bit: "1" 55191-55197 rgb_led_ws281x: bit: "1" @@ -6498,6 +7275,9 @@ 55314-55320 rgb_led_ws281x: bit: "0" 55320-55327 rgb_led_ws281x: bit: "0" 55327-55333 rgb_led_ws281x: bit: "0" +55185-55234 rgb_led_ws281x: g: "ef" +55234-55284 rgb_led_ws281x: r: "df" +55284-55333 rgb_led_ws281x: b: "00" 55185-55333 rgb_led_ws281x: rgb: "#dfef00" 55333-55339 rgb_led_ws281x: bit: "1" 55339-55346 rgb_led_ws281x: bit: "1" @@ -6523,6 +7303,9 @@ 55462-55469 rgb_led_ws281x: bit: "0" 55469-55475 rgb_led_ws281x: bit: "0" 55475-55481 rgb_led_ws281x: bit: "0" +55333-55382 rgb_led_ws281x: g: "df" +55382-55432 rgb_led_ws281x: r: "be" +55432-55481 rgb_led_ws281x: b: "00" 55333-55481 rgb_led_ws281x: rgb: "#bedf00" 55481-55488 rgb_led_ws281x: bit: "1" 55488-55494 rgb_led_ws281x: bit: "0" @@ -6548,6 +7331,9 @@ 55611-55617 rgb_led_ws281x: bit: "0" 55617-55623 rgb_led_ws281x: bit: "0" 55623-55629 rgb_led_ws281x: bit: "0" +55481-55531 rgb_led_ws281x: g: "bf" +55531-55580 rgb_led_ws281x: r: "7d" +55580-55629 rgb_led_ws281x: b: "00" 55481-55629 rgb_led_ws281x: rgb: "#7dbf00" 55629-55636 rgb_led_ws281x: bit: "1" 55636-55642 rgb_led_ws281x: bit: "0" @@ -6573,6 +7359,9 @@ 55759-55765 rgb_led_ws281x: bit: "0" 55765-55771 rgb_led_ws281x: bit: "0" 55771-55778 rgb_led_ws281x: bit: "0" +55629-55679 rgb_led_ws281x: g: "be" +55679-55728 rgb_led_ws281x: r: "ff" +55728-55778 rgb_led_ws281x: b: "00" 55629-55778 rgb_led_ws281x: rgb: "#ffbe00" 55778-55784 rgb_led_ws281x: bit: "0" 55784-55790 rgb_led_ws281x: bit: "1" @@ -6598,6 +7387,9 @@ 55907-55913 rgb_led_ws281x: bit: "0" 55913-55919 rgb_led_ws281x: bit: "0" 55919-55926 rgb_led_ws281x: bit: "0" +55778-55827 rgb_led_ws281x: g: "7d" +55827-55876 rgb_led_ws281x: r: "fb" +55876-55926 rgb_led_ws281x: b: "00" 55778-55926 rgb_led_ws281x: rgb: "#fb7d00" 55926-55932 rgb_led_ws281x: bit: "1" 55932-55938 rgb_led_ws281x: bit: "1" @@ -6623,6 +7415,9 @@ 56055-56061 rgb_led_ws281x: bit: "0" 56061-56067 rgb_led_ws281x: bit: "0" 56067-56074 rgb_led_ws281x: bit: "0" +55926-55975 rgb_led_ws281x: g: "ff" +55975-56024 rgb_led_ws281x: r: "f7" +56024-56074 rgb_led_ws281x: b: "00" 55926-56074 rgb_led_ws281x: rgb: "#f7ff00" 56074-56080 rgb_led_ws281x: bit: "1" 56080-56086 rgb_led_ws281x: bit: "1" @@ -6648,6 +7443,9 @@ 56203-56209 rgb_led_ws281x: bit: "0" 56209-56215 rgb_led_ws281x: bit: "0" 56215-56222 rgb_led_ws281x: bit: "0" +56074-56123 rgb_led_ws281x: g: "ff" +56123-56172 rgb_led_ws281x: r: "ef" +56172-56222 rgb_led_ws281x: b: "00" 56074-56222 rgb_led_ws281x: rgb: "#efff00" 56222-56228 rgb_led_ws281x: bit: "1" 56228-56234 rgb_led_ws281x: bit: "1" @@ -6673,6 +7471,9 @@ 56351-56357 rgb_led_ws281x: bit: "0" 56357-56364 rgb_led_ws281x: bit: "0" 56364-56370 rgb_led_ws281x: bit: "0" +56222-56271 rgb_led_ws281x: g: "f7" +56271-56320 rgb_led_ws281x: r: "df" +56320-56370 rgb_led_ws281x: b: "00" 56222-56370 rgb_led_ws281x: rgb: "#dff700" 56370-56376 rgb_led_ws281x: bit: "1" 56376-56382 rgb_led_ws281x: bit: "1" @@ -6698,6 +7499,9 @@ 56499-56506 rgb_led_ws281x: bit: "0" 56506-56512 rgb_led_ws281x: bit: "0" 56512-56518 rgb_led_ws281x: bit: "0" +56370-56419 rgb_led_ws281x: g: "ef" +56419-56469 rgb_led_ws281x: r: "bf" +56469-56518 rgb_led_ws281x: b: "00" 56370-56518 rgb_led_ws281x: rgb: "#bfef00" 56518-56524 rgb_led_ws281x: bit: "1" 56524-56531 rgb_led_ws281x: bit: "1" @@ -6723,6 +7527,9 @@ 56647-56654 rgb_led_ws281x: bit: "0" 56654-56660 rgb_led_ws281x: bit: "0" 56660-56666 rgb_led_ws281x: bit: "0" +56518-56567 rgb_led_ws281x: g: "df" +56567-56617 rgb_led_ws281x: r: "be" +56617-56666 rgb_led_ws281x: b: "00" 56518-56666 rgb_led_ws281x: rgb: "#bedf00" 56666-56673 rgb_led_ws281x: bit: "1" 56673-56679 rgb_led_ws281x: bit: "0" @@ -6748,6 +7555,9 @@ 56796-56802 rgb_led_ws281x: bit: "0" 56802-56808 rgb_led_ws281x: bit: "0" 56808-56815 rgb_led_ws281x: bit: "0" +56666-56716 rgb_led_ws281x: g: "bf" +56716-56765 rgb_led_ws281x: r: "7d" +56765-56815 rgb_led_ws281x: b: "00" 56666-56815 rgb_led_ws281x: rgb: "#7dbf00" 56815-56821 rgb_led_ws281x: bit: "0" 56821-56827 rgb_led_ws281x: bit: "1" @@ -6773,6 +7583,9 @@ 56944-56950 rgb_led_ws281x: bit: "0" 56950-56956 rgb_led_ws281x: bit: "0" 56956-56963 rgb_led_ws281x: bit: "0" +56815-56864 rgb_led_ws281x: g: "7e" +56864-56913 rgb_led_ws281x: r: "ff" +56913-56963 rgb_led_ws281x: b: "00" 56815-56963 rgb_led_ws281x: rgb: "#ff7e00" 56963-56969 rgb_led_ws281x: bit: "0" 56969-56975 rgb_led_ws281x: bit: "1" @@ -6798,6 +7611,9 @@ 57092-57098 rgb_led_ws281x: bit: "0" 57098-57104 rgb_led_ws281x: bit: "0" 57104-57111 rgb_led_ws281x: bit: "0" +56963-57012 rgb_led_ws281x: g: "7d" +57012-57061 rgb_led_ws281x: r: "ff" +57061-57111 rgb_led_ws281x: b: "00" 56963-57111 rgb_led_ws281x: rgb: "#ff7d00" 57111-57117 rgb_led_ws281x: bit: "1" 57117-57123 rgb_led_ws281x: bit: "1" @@ -6823,6 +7639,9 @@ 57240-57246 rgb_led_ws281x: bit: "0" 57246-57252 rgb_led_ws281x: bit: "0" 57252-57259 rgb_led_ws281x: bit: "0" +57111-57160 rgb_led_ws281x: g: "fb" +57160-57209 rgb_led_ws281x: r: "f7" +57209-57259 rgb_led_ws281x: b: "00" 57111-57259 rgb_led_ws281x: rgb: "#f7fb00" 57259-57265 rgb_led_ws281x: bit: "1" 57265-57271 rgb_led_ws281x: bit: "1" @@ -6848,6 +7667,9 @@ 57388-57394 rgb_led_ws281x: bit: "0" 57394-57400 rgb_led_ws281x: bit: "0" 57400-57407 rgb_led_ws281x: bit: "0" +57259-57308 rgb_led_ws281x: g: "ff" +57308-57357 rgb_led_ws281x: r: "ef" +57357-57407 rgb_led_ws281x: b: "00" 57259-57407 rgb_led_ws281x: rgb: "#efff00" 57407-57413 rgb_led_ws281x: bit: "1" 57413-57419 rgb_led_ws281x: bit: "1" @@ -6873,6 +7695,9 @@ 57536-57542 rgb_led_ws281x: bit: "0" 57542-57549 rgb_led_ws281x: bit: "0" 57549-57555 rgb_led_ws281x: bit: "0" +57407-57456 rgb_led_ws281x: g: "f7" +57456-57505 rgb_led_ws281x: r: "df" +57505-57555 rgb_led_ws281x: b: "00" 57407-57555 rgb_led_ws281x: rgb: "#dff700" 57555-57561 rgb_led_ws281x: bit: "1" 57561-57567 rgb_led_ws281x: bit: "1" @@ -6898,6 +7723,9 @@ 57684-57691 rgb_led_ws281x: bit: "0" 57691-57697 rgb_led_ws281x: bit: "0" 57697-57703 rgb_led_ws281x: bit: "0" +57555-57604 rgb_led_ws281x: g: "ef" +57604-57654 rgb_led_ws281x: r: "bf" +57654-57703 rgb_led_ws281x: b: "00" 57555-57703 rgb_led_ws281x: rgb: "#bfef00" 57703-57709 rgb_led_ws281x: bit: "1" 57709-57716 rgb_led_ws281x: bit: "1" @@ -6923,6 +7751,9 @@ 57832-57839 rgb_led_ws281x: bit: "0" 57839-57845 rgb_led_ws281x: bit: "0" 57845-57851 rgb_led_ws281x: bit: "0" +57703-57752 rgb_led_ws281x: g: "df" +57752-57802 rgb_led_ws281x: r: "fe" +57802-57851 rgb_led_ws281x: b: "00" 57703-57851 rgb_led_ws281x: rgb: "#fedf00" 57851-57858 rgb_led_ws281x: bit: "1" 57858-57864 rgb_led_ws281x: bit: "0" @@ -6948,6 +7779,9 @@ 57981-57987 rgb_led_ws281x: bit: "0" 57987-57993 rgb_led_ws281x: bit: "0" 57993-58000 rgb_led_ws281x: bit: "0" +57851-57901 rgb_led_ws281x: g: "bf" +57901-57950 rgb_led_ws281x: r: "7d" +57950-58000 rgb_led_ws281x: b: "00" 57851-58000 rgb_led_ws281x: rgb: "#7dbf00" 58000-58006 rgb_led_ws281x: bit: "0" 58006-58012 rgb_led_ws281x: bit: "1" @@ -6973,6 +7807,9 @@ 58129-58135 rgb_led_ws281x: bit: "0" 58135-58141 rgb_led_ws281x: bit: "0" 58141-58148 rgb_led_ws281x: bit: "0" +58000-58049 rgb_led_ws281x: g: "7e" +58049-58098 rgb_led_ws281x: r: "fb" +58098-58148 rgb_led_ws281x: b: "00" 58000-58148 rgb_led_ws281x: rgb: "#fb7e00" 58148-58154 rgb_led_ws281x: bit: "1" 58154-58160 rgb_led_ws281x: bit: "1" @@ -6998,6 +7835,9 @@ 58277-58283 rgb_led_ws281x: bit: "0" 58283-58289 rgb_led_ws281x: bit: "0" 58289-58296 rgb_led_ws281x: bit: "0" +58148-58197 rgb_led_ws281x: g: "fd" +58197-58246 rgb_led_ws281x: r: "ff" +58246-58296 rgb_led_ws281x: b: "00" 58148-58296 rgb_led_ws281x: rgb: "#fffd00" 58296-58302 rgb_led_ws281x: bit: "1" 58302-58308 rgb_led_ws281x: bit: "1" @@ -7023,6 +7863,9 @@ 58425-58431 rgb_led_ws281x: bit: "0" 58431-58437 rgb_led_ws281x: bit: "0" 58437-58444 rgb_led_ws281x: bit: "0" +58296-58345 rgb_led_ws281x: g: "fb" +58345-58394 rgb_led_ws281x: r: "f7" +58394-58444 rgb_led_ws281x: b: "00" 58296-58444 rgb_led_ws281x: rgb: "#f7fb00" 58444-58450 rgb_led_ws281x: bit: "1" 58450-58456 rgb_led_ws281x: bit: "1" @@ -7048,6 +7891,9 @@ 58573-58579 rgb_led_ws281x: bit: "0" 58579-58585 rgb_led_ws281x: bit: "0" 58585-58592 rgb_led_ws281x: bit: "0" +58444-58493 rgb_led_ws281x: g: "ff" +58493-58542 rgb_led_ws281x: r: "ef" +58542-58592 rgb_led_ws281x: b: "00" 58444-58592 rgb_led_ws281x: rgb: "#efff00" 58592-58598 rgb_led_ws281x: bit: "1" 58598-58604 rgb_led_ws281x: bit: "1" @@ -7073,6 +7919,9 @@ 58721-58727 rgb_led_ws281x: bit: "0" 58727-58734 rgb_led_ws281x: bit: "0" 58734-58740 rgb_led_ws281x: bit: "0" +58592-58641 rgb_led_ws281x: g: "f7" +58641-58690 rgb_led_ws281x: r: "df" +58690-58740 rgb_led_ws281x: b: "00" 58592-58740 rgb_led_ws281x: rgb: "#dff700" 58740-58746 rgb_led_ws281x: bit: "1" 58746-58752 rgb_led_ws281x: bit: "1" @@ -7098,6 +7947,9 @@ 58869-58876 rgb_led_ws281x: bit: "0" 58876-58882 rgb_led_ws281x: bit: "0" 58882-58888 rgb_led_ws281x: bit: "0" +58740-58789 rgb_led_ws281x: g: "ef" +58789-58839 rgb_led_ws281x: r: "bf" +58839-58888 rgb_led_ws281x: b: "00" 58740-58888 rgb_led_ws281x: rgb: "#bfef00" 58888-58894 rgb_led_ws281x: bit: "1" 58894-58901 rgb_led_ws281x: bit: "1" @@ -7123,6 +7975,9 @@ 59017-59024 rgb_led_ws281x: bit: "0" 59024-59030 rgb_led_ws281x: bit: "0" 59030-59036 rgb_led_ws281x: bit: "0" +58888-58938 rgb_led_ws281x: g: "df" +58938-58987 rgb_led_ws281x: r: "7e" +58987-59036 rgb_led_ws281x: b: "00" 58888-59036 rgb_led_ws281x: rgb: "#7edf00" 59036-59043 rgb_led_ws281x: bit: "1" 59043-59049 rgb_led_ws281x: bit: "0" @@ -7148,6 +8003,9 @@ 59166-59172 rgb_led_ws281x: bit: "0" 59172-59178 rgb_led_ws281x: bit: "0" 59178-59185 rgb_led_ws281x: bit: "0" +59036-59086 rgb_led_ws281x: g: "bf" +59086-59135 rgb_led_ws281x: r: "fd" +59135-59185 rgb_led_ws281x: b: "00" 59036-59185 rgb_led_ws281x: rgb: "#fdbf00" 59185-59191 rgb_led_ws281x: bit: "0" 59191-59197 rgb_led_ws281x: bit: "1" @@ -7173,6 +8031,9 @@ 59314-59320 rgb_led_ws281x: bit: "0" 59320-59326 rgb_led_ws281x: bit: "0" 59326-59333 rgb_led_ws281x: bit: "0" +59185-59234 rgb_led_ws281x: g: "7e" +59234-59283 rgb_led_ws281x: r: "fb" +59283-59333 rgb_led_ws281x: b: "00" 59185-59333 rgb_led_ws281x: rgb: "#fb7e00" 59333-59339 rgb_led_ws281x: bit: "1" 59339-59345 rgb_led_ws281x: bit: "1" @@ -7198,6 +8059,9 @@ 59462-59468 rgb_led_ws281x: bit: "0" 59468-59474 rgb_led_ws281x: bit: "0" 59474-59481 rgb_led_ws281x: bit: "0" +59333-59382 rgb_led_ws281x: g: "fd" +59382-59431 rgb_led_ws281x: r: "ff" +59431-59481 rgb_led_ws281x: b: "00" 59333-59481 rgb_led_ws281x: rgb: "#fffd00" 59481-59487 rgb_led_ws281x: bit: "1" 59487-59493 rgb_led_ws281x: bit: "1" @@ -7223,6 +8087,9 @@ 59610-59616 rgb_led_ws281x: bit: "0" 59616-59622 rgb_led_ws281x: bit: "0" 59622-59629 rgb_led_ws281x: bit: "0" +59481-59530 rgb_led_ws281x: g: "fb" +59530-59579 rgb_led_ws281x: r: "f7" +59579-59629 rgb_led_ws281x: b: "00" 59481-59629 rgb_led_ws281x: rgb: "#f7fb00" 59629-59635 rgb_led_ws281x: bit: "1" 59635-59641 rgb_led_ws281x: bit: "1" @@ -7248,6 +8115,9 @@ 59758-59764 rgb_led_ws281x: bit: "0" 59764-59770 rgb_led_ws281x: bit: "0" 59770-59777 rgb_led_ws281x: bit: "0" +59629-59678 rgb_led_ws281x: g: "f7" +59678-59727 rgb_led_ws281x: r: "ef" +59727-59777 rgb_led_ws281x: b: "00" 59629-59777 rgb_led_ws281x: rgb: "#eff700" 59777-59783 rgb_led_ws281x: bit: "1" 59783-59789 rgb_led_ws281x: bit: "1" @@ -7273,6 +8143,9 @@ 59906-59912 rgb_led_ws281x: bit: "0" 59912-59919 rgb_led_ws281x: bit: "0" 59919-59925 rgb_led_ws281x: bit: "0" +59777-59826 rgb_led_ws281x: g: "f7" +59826-59875 rgb_led_ws281x: r: "df" +59875-59925 rgb_led_ws281x: b: "00" 59777-59925 rgb_led_ws281x: rgb: "#dff700" 59925-59931 rgb_led_ws281x: bit: "1" 59931-59937 rgb_led_ws281x: bit: "1" @@ -7298,6 +8171,9 @@ 60054-60061 rgb_led_ws281x: bit: "0" 60061-60067 rgb_led_ws281x: bit: "0" 60067-60073 rgb_led_ws281x: bit: "0" +59925-59974 rgb_led_ws281x: g: "ef" +59974-60024 rgb_led_ws281x: r: "bf" +60024-60073 rgb_led_ws281x: b: "00" 59925-60073 rgb_led_ws281x: rgb: "#bfef00" 60073-60079 rgb_led_ws281x: bit: "1" 60079-60086 rgb_led_ws281x: bit: "1" @@ -7323,6 +8199,9 @@ 60202-60209 rgb_led_ws281x: bit: "0" 60209-60215 rgb_led_ws281x: bit: "0" 60215-60221 rgb_led_ws281x: bit: "0" +60073-60123 rgb_led_ws281x: g: "df" +60123-60172 rgb_led_ws281x: r: "7e" +60172-60221 rgb_led_ws281x: b: "00" 60073-60221 rgb_led_ws281x: rgb: "#7edf00" 60221-60228 rgb_led_ws281x: bit: "1" 60228-60234 rgb_led_ws281x: bit: "0" @@ -7348,6 +8227,9 @@ 60351-60357 rgb_led_ws281x: bit: "0" 60357-60363 rgb_led_ws281x: bit: "0" 60363-60370 rgb_led_ws281x: bit: "0" +60221-60271 rgb_led_ws281x: g: "bf" +60271-60320 rgb_led_ws281x: r: "fd" +60320-60370 rgb_led_ws281x: b: "00" 60221-60370 rgb_led_ws281x: rgb: "#fdbf00" 60370-60376 rgb_led_ws281x: bit: "0" 60376-60382 rgb_led_ws281x: bit: "1" @@ -7373,6 +8255,9 @@ 60499-60505 rgb_led_ws281x: bit: "0" 60505-60511 rgb_led_ws281x: bit: "0" 60511-60518 rgb_led_ws281x: bit: "0" +60370-60419 rgb_led_ws281x: g: "7e" +60419-60468 rgb_led_ws281x: r: "fb" +60468-60518 rgb_led_ws281x: b: "00" 60370-60518 rgb_led_ws281x: rgb: "#fb7e00" 60518-60524 rgb_led_ws281x: bit: "1" 60524-60530 rgb_led_ws281x: bit: "1" @@ -7398,6 +8283,9 @@ 60647-60653 rgb_led_ws281x: bit: "0" 60653-60659 rgb_led_ws281x: bit: "0" 60659-60666 rgb_led_ws281x: bit: "0" +60518-60567 rgb_led_ws281x: g: "fd" +60567-60616 rgb_led_ws281x: r: "f7" +60616-60666 rgb_led_ws281x: b: "00" 60518-60666 rgb_led_ws281x: rgb: "#f7fd00" 60666-60672 rgb_led_ws281x: bit: "1" 60672-60678 rgb_led_ws281x: bit: "1" @@ -7423,6 +8311,9 @@ 60795-60801 rgb_led_ws281x: bit: "0" 60801-60807 rgb_led_ws281x: bit: "0" 60807-60814 rgb_led_ws281x: bit: "0" +60666-60715 rgb_led_ws281x: g: "fb" +60715-60764 rgb_led_ws281x: r: "f7" +60764-60814 rgb_led_ws281x: b: "00" 60666-60814 rgb_led_ws281x: rgb: "#f7fb00" 60814-60820 rgb_led_ws281x: bit: "1" 60820-60826 rgb_led_ws281x: bit: "1" @@ -7448,6 +8339,9 @@ 60943-60949 rgb_led_ws281x: bit: "0" 60949-60955 rgb_led_ws281x: bit: "0" 60955-60962 rgb_led_ws281x: bit: "0" +60814-60863 rgb_led_ws281x: g: "f7" +60863-60912 rgb_led_ws281x: r: "ef" +60912-60962 rgb_led_ws281x: b: "00" 60814-60962 rgb_led_ws281x: rgb: "#eff700" 60962-60968 rgb_led_ws281x: bit: "1" 60968-60974 rgb_led_ws281x: bit: "1" @@ -7473,6 +8367,9 @@ 61091-61097 rgb_led_ws281x: bit: "0" 61097-61104 rgb_led_ws281x: bit: "0" 61104-61110 rgb_led_ws281x: bit: "0" +60962-61011 rgb_led_ws281x: g: "ef" +61011-61061 rgb_led_ws281x: r: "df" +61061-61110 rgb_led_ws281x: b: "00" 60962-61110 rgb_led_ws281x: rgb: "#dfef00" 61110-61116 rgb_led_ws281x: bit: "1" 61116-61122 rgb_led_ws281x: bit: "1" @@ -7498,6 +8395,9 @@ 61239-61246 rgb_led_ws281x: bit: "0" 61246-61252 rgb_led_ws281x: bit: "0" 61252-61258 rgb_led_ws281x: bit: "0" +61110-61159 rgb_led_ws281x: g: "ef" +61159-61209 rgb_led_ws281x: r: "bf" +61209-61258 rgb_led_ws281x: b: "00" 61110-61258 rgb_led_ws281x: rgb: "#bfef00" 61258-61264 rgb_led_ws281x: bit: "1" 61264-61271 rgb_led_ws281x: bit: "1" @@ -7523,6 +8423,9 @@ 61388-61394 rgb_led_ws281x: bit: "0" 61394-61400 rgb_led_ws281x: bit: "0" 61400-61406 rgb_led_ws281x: bit: "0" +61258-61308 rgb_led_ws281x: g: "df" +61308-61357 rgb_led_ws281x: r: "7e" +61357-61406 rgb_led_ws281x: b: "00" 61258-61406 rgb_led_ws281x: rgb: "#7edf00" 61406-61413 rgb_led_ws281x: bit: "1" 61413-61419 rgb_led_ws281x: bit: "0" @@ -7548,6 +8451,9 @@ 61536-61542 rgb_led_ws281x: bit: "0" 61542-61548 rgb_led_ws281x: bit: "0" 61548-61555 rgb_led_ws281x: bit: "0" +61406-61456 rgb_led_ws281x: g: "be" +61456-61505 rgb_led_ws281x: r: "fd" +61505-61555 rgb_led_ws281x: b: "00" 61406-61555 rgb_led_ws281x: rgb: "#fdbe00" 61555-61561 rgb_led_ws281x: bit: "0" 61561-61567 rgb_led_ws281x: bit: "1" @@ -7573,6 +8479,9 @@ 61684-61690 rgb_led_ws281x: bit: "0" 61690-61696 rgb_led_ws281x: bit: "0" 61696-61703 rgb_led_ws281x: bit: "0" +61555-61604 rgb_led_ws281x: g: "7d" +61604-61653 rgb_led_ws281x: r: "fb" +61653-61703 rgb_led_ws281x: b: "00" 61555-61703 rgb_led_ws281x: rgb: "#fb7d00" 61703-61709 rgb_led_ws281x: bit: "1" 61709-61715 rgb_led_ws281x: bit: "1" @@ -7598,6 +8507,9 @@ 61832-61838 rgb_led_ws281x: bit: "0" 61838-61844 rgb_led_ws281x: bit: "0" 61844-61851 rgb_led_ws281x: bit: "0" +61703-61752 rgb_led_ws281x: g: "fd" +61752-61801 rgb_led_ws281x: r: "f7" +61801-61851 rgb_led_ws281x: b: "00" 61703-61851 rgb_led_ws281x: rgb: "#f7fd00" 61851-61857 rgb_led_ws281x: bit: "1" 61857-61863 rgb_led_ws281x: bit: "1" @@ -7623,6 +8535,9 @@ 61980-61986 rgb_led_ws281x: bit: "0" 61986-61992 rgb_led_ws281x: bit: "0" 61992-61999 rgb_led_ws281x: bit: "0" +61851-61900 rgb_led_ws281x: g: "fb" +61900-61949 rgb_led_ws281x: r: "ef" +61949-61999 rgb_led_ws281x: b: "00" 61851-61999 rgb_led_ws281x: rgb: "#effb00" 61999-62005 rgb_led_ws281x: bit: "1" 62005-62011 rgb_led_ws281x: bit: "1" @@ -7648,6 +8563,9 @@ 62128-62134 rgb_led_ws281x: bit: "0" 62134-62140 rgb_led_ws281x: bit: "0" 62140-62147 rgb_led_ws281x: bit: "0" +61999-62048 rgb_led_ws281x: g: "f7" +62048-62097 rgb_led_ws281x: r: "ef" +62097-62147 rgb_led_ws281x: b: "00" 61999-62147 rgb_led_ws281x: rgb: "#eff700" 62147-62153 rgb_led_ws281x: bit: "1" 62153-62159 rgb_led_ws281x: bit: "1" @@ -7673,6 +8591,9 @@ 62276-62282 rgb_led_ws281x: bit: "0" 62282-62289 rgb_led_ws281x: bit: "0" 62289-62295 rgb_led_ws281x: bit: "0" +62147-62196 rgb_led_ws281x: g: "ef" +62196-62246 rgb_led_ws281x: r: "df" +62246-62295 rgb_led_ws281x: b: "00" 62147-62295 rgb_led_ws281x: rgb: "#dfef00" 62295-62301 rgb_led_ws281x: bit: "1" 62301-62307 rgb_led_ws281x: bit: "1" @@ -7698,6 +8619,9 @@ 62424-62431 rgb_led_ws281x: bit: "0" 62431-62437 rgb_led_ws281x: bit: "0" 62437-62443 rgb_led_ws281x: bit: "0" +62295-62344 rgb_led_ws281x: g: "ff" +62344-62394 rgb_led_ws281x: r: "be" +62394-62443 rgb_led_ws281x: b: "00" 62295-62443 rgb_led_ws281x: rgb: "#beff00" 62443-62449 rgb_led_ws281x: bit: "1" 62449-62456 rgb_led_ws281x: bit: "1" @@ -7723,6 +8647,9 @@ 62573-62579 rgb_led_ws281x: bit: "0" 62579-62585 rgb_led_ws281x: bit: "0" 62585-62591 rgb_led_ws281x: bit: "0" +62443-62493 rgb_led_ws281x: g: "df" +62493-62542 rgb_led_ws281x: r: "7e" +62542-62591 rgb_led_ws281x: b: "00" 62443-62591 rgb_led_ws281x: rgb: "#7edf00" 62591-62598 rgb_led_ws281x: bit: "1" 62598-62604 rgb_led_ws281x: bit: "0" @@ -7748,6 +8675,9 @@ 62721-62727 rgb_led_ws281x: bit: "0" 62727-62733 rgb_led_ws281x: bit: "0" 62733-62740 rgb_led_ws281x: bit: "0" +62591-62641 rgb_led_ws281x: g: "be" +62641-62690 rgb_led_ws281x: r: "fd" +62690-62740 rgb_led_ws281x: b: "00" 62591-62740 rgb_led_ws281x: rgb: "#fdbe00" 62740-62746 rgb_led_ws281x: bit: "0" 62746-62752 rgb_led_ws281x: bit: "1" @@ -7773,6 +8703,9 @@ 62869-62875 rgb_led_ws281x: bit: "0" 62875-62881 rgb_led_ws281x: bit: "0" 62881-62888 rgb_led_ws281x: bit: "0" +62740-62789 rgb_led_ws281x: g: "7d" +62789-62838 rgb_led_ws281x: r: "fb" +62838-62888 rgb_led_ws281x: b: "00" 62740-62888 rgb_led_ws281x: rgb: "#fb7d00" 62888-62894 rgb_led_ws281x: bit: "1" 62894-62900 rgb_led_ws281x: bit: "1" @@ -7798,6 +8731,9 @@ 63017-63023 rgb_led_ws281x: bit: "0" 63023-63029 rgb_led_ws281x: bit: "0" 63029-63036 rgb_led_ws281x: bit: "0" +62888-62937 rgb_led_ws281x: g: "fd" +62937-62986 rgb_led_ws281x: r: "f7" +62986-63036 rgb_led_ws281x: b: "00" 62888-63036 rgb_led_ws281x: rgb: "#f7fd00" 63036-63042 rgb_led_ws281x: bit: "1" 63042-63048 rgb_led_ws281x: bit: "1" @@ -7823,6 +8759,9 @@ 63165-63171 rgb_led_ws281x: bit: "0" 63171-63177 rgb_led_ws281x: bit: "0" 63177-63184 rgb_led_ws281x: bit: "0" +63036-63085 rgb_led_ws281x: g: "fb" +63085-63134 rgb_led_ws281x: r: "ef" +63134-63184 rgb_led_ws281x: b: "00" 63036-63184 rgb_led_ws281x: rgb: "#effb00" 63184-63190 rgb_led_ws281x: bit: "1" 63190-63196 rgb_led_ws281x: bit: "1" @@ -7848,6 +8787,9 @@ 63313-63319 rgb_led_ws281x: bit: "0" 63319-63325 rgb_led_ws281x: bit: "0" 63325-63332 rgb_led_ws281x: bit: "0" +63184-63233 rgb_led_ws281x: g: "f7" +63233-63282 rgb_led_ws281x: r: "ff" +63282-63332 rgb_led_ws281x: b: "00" 63184-63332 rgb_led_ws281x: rgb: "#fff700" 63332-63338 rgb_led_ws281x: bit: "1" 63338-63344 rgb_led_ws281x: bit: "1" @@ -7873,6 +8815,9 @@ 63461-63467 rgb_led_ws281x: bit: "0" 63467-63474 rgb_led_ws281x: bit: "0" 63474-63480 rgb_led_ws281x: bit: "0" +63332-63381 rgb_led_ws281x: g: "ef" +63381-63431 rgb_led_ws281x: r: "df" +63431-63480 rgb_led_ws281x: b: "00" 63332-63480 rgb_led_ws281x: rgb: "#dfef00" 63480-63486 rgb_led_ws281x: bit: "1" 63486-63493 rgb_led_ws281x: bit: "1" @@ -7898,6 +8843,9 @@ 63609-63616 rgb_led_ws281x: bit: "0" 63616-63622 rgb_led_ws281x: bit: "0" 63622-63628 rgb_led_ws281x: bit: "0" +63480-63529 rgb_led_ws281x: g: "df" +63529-63579 rgb_led_ws281x: r: "be" +63579-63628 rgb_led_ws281x: b: "00" 63480-63628 rgb_led_ws281x: rgb: "#bedf00" 63628-63634 rgb_led_ws281x: bit: "1" 63634-63641 rgb_led_ws281x: bit: "1" @@ -7923,6 +8871,9 @@ 63758-63764 rgb_led_ws281x: bit: "0" 63764-63770 rgb_led_ws281x: bit: "0" 63770-63776 rgb_led_ws281x: bit: "0" +63628-63678 rgb_led_ws281x: g: "ff" +63678-63727 rgb_led_ws281x: r: "7d" +63727-63776 rgb_led_ws281x: b: "00" 63628-63776 rgb_led_ws281x: rgb: "#7dff00" 63776-63783 rgb_led_ws281x: bit: "1" 63783-63789 rgb_led_ws281x: bit: "0" @@ -7948,6 +8899,9 @@ 63906-63912 rgb_led_ws281x: bit: "0" 63912-63918 rgb_led_ws281x: bit: "0" 63918-63925 rgb_led_ws281x: bit: "0" +63776-63826 rgb_led_ws281x: g: "be" +63826-63875 rgb_led_ws281x: r: "fd" +63875-63925 rgb_led_ws281x: b: "00" 63776-63925 rgb_led_ws281x: rgb: "#fdbe00" 63925-63931 rgb_led_ws281x: bit: "0" 63931-63937 rgb_led_ws281x: bit: "1" @@ -7973,6 +8927,9 @@ 64054-64060 rgb_led_ws281x: bit: "0" 64060-64066 rgb_led_ws281x: bit: "0" 64066-64073 rgb_led_ws281x: bit: "0" +63925-63974 rgb_led_ws281x: g: "7d" +63974-64023 rgb_led_ws281x: r: "fb" +64023-64073 rgb_led_ws281x: b: "00" 63925-64073 rgb_led_ws281x: rgb: "#fb7d00" 64073-64079 rgb_led_ws281x: bit: "1" 64079-64085 rgb_led_ws281x: bit: "1" @@ -7998,6 +8955,9 @@ 64202-64208 rgb_led_ws281x: bit: "0" 64208-64214 rgb_led_ws281x: bit: "0" 64214-64221 rgb_led_ws281x: bit: "0" +64073-64122 rgb_led_ws281x: g: "ff" +64122-64171 rgb_led_ws281x: r: "f7" +64171-64221 rgb_led_ws281x: b: "00" 64073-64221 rgb_led_ws281x: rgb: "#f7ff00" 64221-64227 rgb_led_ws281x: bit: "1" 64227-64233 rgb_led_ws281x: bit: "1" @@ -8023,6 +8983,9 @@ 64350-64356 rgb_led_ws281x: bit: "0" 64356-64362 rgb_led_ws281x: bit: "0" 64362-64369 rgb_led_ws281x: bit: "0" +64221-64270 rgb_led_ws281x: g: "fb" +64270-64319 rgb_led_ws281x: r: "ef" +64319-64369 rgb_led_ws281x: b: "00" 64221-64369 rgb_led_ws281x: rgb: "#effb00" 64369-64375 rgb_led_ws281x: bit: "1" 64375-64381 rgb_led_ws281x: bit: "1" @@ -8048,6 +9011,9 @@ 64498-64504 rgb_led_ws281x: bit: "0" 64504-64511 rgb_led_ws281x: bit: "0" 64511-64517 rgb_led_ws281x: bit: "0" +64369-64418 rgb_led_ws281x: g: "f7" +64418-64467 rgb_led_ws281x: r: "df" +64467-64517 rgb_led_ws281x: b: "00" 64369-64517 rgb_led_ws281x: rgb: "#dff700" 64517-64523 rgb_led_ws281x: bit: "1" 64523-64529 rgb_led_ws281x: bit: "1" @@ -8073,6 +9039,9 @@ 64646-64652 rgb_led_ws281x: bit: "0" 64652-64659 rgb_led_ws281x: bit: "0" 64659-64665 rgb_led_ws281x: bit: "0" +64517-64566 rgb_led_ws281x: g: "ef" +64566-64616 rgb_led_ws281x: r: "df" +64616-64665 rgb_led_ws281x: b: "00" 64517-64665 rgb_led_ws281x: rgb: "#dfef00" 64665-64671 rgb_led_ws281x: bit: "1" 64671-64678 rgb_led_ws281x: bit: "1" @@ -8098,6 +9067,9 @@ 64794-64801 rgb_led_ws281x: bit: "0" 64801-64807 rgb_led_ws281x: bit: "0" 64807-64813 rgb_led_ws281x: bit: "0" +64665-64714 rgb_led_ws281x: g: "df" +64714-64764 rgb_led_ws281x: r: "be" +64764-64813 rgb_led_ws281x: b: "00" 64665-64813 rgb_led_ws281x: rgb: "#bedf00" 64813-64820 rgb_led_ws281x: bit: "1" 64820-64826 rgb_led_ws281x: bit: "0" @@ -8123,6 +9095,9 @@ 64943-64949 rgb_led_ws281x: bit: "0" 64949-64955 rgb_led_ws281x: bit: "0" 64955-64961 rgb_led_ws281x: bit: "0" +64813-64863 rgb_led_ws281x: g: "bf" +64863-64912 rgb_led_ws281x: r: "7d" +64912-64961 rgb_led_ws281x: b: "00" 64813-64961 rgb_led_ws281x: rgb: "#7dbf00" 64961-64968 rgb_led_ws281x: bit: "1" 64968-64974 rgb_led_ws281x: bit: "0" @@ -8148,6 +9123,9 @@ 65091-65097 rgb_led_ws281x: bit: "0" 65097-65103 rgb_led_ws281x: bit: "0" 65103-65110 rgb_led_ws281x: bit: "0" +64961-65011 rgb_led_ws281x: g: "be" +65011-65060 rgb_led_ws281x: r: "fd" +65060-65110 rgb_led_ws281x: b: "00" 64961-65110 rgb_led_ws281x: rgb: "#fdbe00" 65110-65116 rgb_led_ws281x: bit: "0" 65116-65122 rgb_led_ws281x: bit: "1" @@ -8173,6 +9151,9 @@ 65239-65245 rgb_led_ws281x: bit: "0" 65245-65251 rgb_led_ws281x: bit: "0" 65251-65258 rgb_led_ws281x: bit: "0" +65110-65159 rgb_led_ws281x: g: "7d" +65159-65208 rgb_led_ws281x: r: "fb" +65208-65258 rgb_led_ws281x: b: "00" 65110-65258 rgb_led_ws281x: rgb: "#fb7d00" 65258-65264 rgb_led_ws281x: bit: "1" 65264-65270 rgb_led_ws281x: bit: "1" @@ -8198,6 +9179,9 @@ 65387-65393 rgb_led_ws281x: bit: "0" 65393-65399 rgb_led_ws281x: bit: "0" 65399-65406 rgb_led_ws281x: bit: "0" +65258-65307 rgb_led_ws281x: g: "ff" +65307-65356 rgb_led_ws281x: r: "f7" +65356-65406 rgb_led_ws281x: b: "00" 65258-65406 rgb_led_ws281x: rgb: "#f7ff00" 65406-65412 rgb_led_ws281x: bit: "1" 65412-65418 rgb_led_ws281x: bit: "1" @@ -8223,6 +9207,9 @@ 65535-65541 rgb_led_ws281x: bit: "0" 65541-65547 rgb_led_ws281x: bit: "0" 65547-65554 rgb_led_ws281x: bit: "0" +65406-65455 rgb_led_ws281x: g: "ff" +65455-65504 rgb_led_ws281x: r: "ef" +65504-65554 rgb_led_ws281x: b: "00" 65406-65554 rgb_led_ws281x: rgb: "#efff00" 65554-65560 rgb_led_ws281x: bit: "1" 65560-65566 rgb_led_ws281x: bit: "1" @@ -8248,6 +9235,9 @@ 65683-65689 rgb_led_ws281x: bit: "0" 65689-65696 rgb_led_ws281x: bit: "0" 65696-65702 rgb_led_ws281x: bit: "0" +65554-65603 rgb_led_ws281x: g: "f7" +65603-65652 rgb_led_ws281x: r: "df" +65652-65702 rgb_led_ws281x: b: "00" 65554-65702 rgb_led_ws281x: rgb: "#dff700" 65702-65708 rgb_led_ws281x: bit: "1" 65708-65714 rgb_led_ws281x: bit: "1" @@ -8273,6 +9263,9 @@ 65831-65837 rgb_led_ws281x: bit: "0" 65837-65844 rgb_led_ws281x: bit: "0" 65844-65850 rgb_led_ws281x: bit: "0" +65702-65751 rgb_led_ws281x: g: "ef" +65751-65801 rgb_led_ws281x: r: "bf" +65801-65850 rgb_led_ws281x: b: "00" 65702-65850 rgb_led_ws281x: rgb: "#bfef00" 65850-65856 rgb_led_ws281x: bit: "1" 65856-65863 rgb_led_ws281x: bit: "1" @@ -8298,6 +9291,9 @@ 65979-65986 rgb_led_ws281x: bit: "0" 65986-65992 rgb_led_ws281x: bit: "0" 65992-65998 rgb_led_ws281x: bit: "0" +65850-65899 rgb_led_ws281x: g: "df" +65899-65949 rgb_led_ws281x: r: "be" +65949-65998 rgb_led_ws281x: b: "00" 65850-65998 rgb_led_ws281x: rgb: "#bedf00" 65998-66005 rgb_led_ws281x: bit: "1" 66005-66011 rgb_led_ws281x: bit: "0" @@ -8323,6 +9319,9 @@ 66128-66134 rgb_led_ws281x: bit: "0" 66134-66140 rgb_led_ws281x: bit: "0" 66140-66146 rgb_led_ws281x: bit: "0" +65998-66048 rgb_led_ws281x: g: "bf" +66048-66097 rgb_led_ws281x: r: "7d" +66097-66146 rgb_led_ws281x: b: "00" 65998-66146 rgb_led_ws281x: rgb: "#7dbf00" 66146-66153 rgb_led_ws281x: bit: "1" 66153-66159 rgb_led_ws281x: bit: "1" @@ -8348,6 +9347,9 @@ 66276-66282 rgb_led_ws281x: bit: "0" 66282-66288 rgb_led_ws281x: bit: "0" 66288-66295 rgb_led_ws281x: bit: "0" +66146-66196 rgb_led_ws281x: g: "fe" +66196-66245 rgb_led_ws281x: r: "ff" +66245-66295 rgb_led_ws281x: b: "00" 66146-66295 rgb_led_ws281x: rgb: "#fffe00" 66295-66301 rgb_led_ws281x: bit: "0" 66301-66307 rgb_led_ws281x: bit: "1" @@ -8373,6 +9375,9 @@ 66424-66430 rgb_led_ws281x: bit: "0" 66430-66436 rgb_led_ws281x: bit: "0" 66436-66443 rgb_led_ws281x: bit: "0" +66295-66344 rgb_led_ws281x: g: "7d" +66344-66393 rgb_led_ws281x: r: "fb" +66393-66443 rgb_led_ws281x: b: "00" 66295-66443 rgb_led_ws281x: rgb: "#fb7d00" 66443-66449 rgb_led_ws281x: bit: "1" 66449-66455 rgb_led_ws281x: bit: "1" @@ -8398,6 +9403,9 @@ 66572-66578 rgb_led_ws281x: bit: "0" 66578-66584 rgb_led_ws281x: bit: "0" 66584-66591 rgb_led_ws281x: bit: "0" +66443-66492 rgb_led_ws281x: g: "fb" +66492-66541 rgb_led_ws281x: r: "f7" +66541-66591 rgb_led_ws281x: b: "00" 66443-66591 rgb_led_ws281x: rgb: "#f7fb00" 66591-66597 rgb_led_ws281x: bit: "1" 66597-66603 rgb_led_ws281x: bit: "1" @@ -8423,6 +9431,9 @@ 66720-66726 rgb_led_ws281x: bit: "0" 66726-66732 rgb_led_ws281x: bit: "0" 66732-66739 rgb_led_ws281x: bit: "0" +66591-66640 rgb_led_ws281x: g: "ff" +66640-66689 rgb_led_ws281x: r: "ef" +66689-66739 rgb_led_ws281x: b: "00" 66591-66739 rgb_led_ws281x: rgb: "#efff00" 66739-66745 rgb_led_ws281x: bit: "1" 66745-66751 rgb_led_ws281x: bit: "1" @@ -8448,6 +9459,9 @@ 66868-66874 rgb_led_ws281x: bit: "0" 66874-66881 rgb_led_ws281x: bit: "0" 66881-66887 rgb_led_ws281x: bit: "0" +66739-66788 rgb_led_ws281x: g: "f7" +66788-66837 rgb_led_ws281x: r: "df" +66837-66887 rgb_led_ws281x: b: "00" 66739-66887 rgb_led_ws281x: rgb: "#dff700" 66887-66893 rgb_led_ws281x: bit: "1" 66893-66899 rgb_led_ws281x: bit: "1" @@ -8473,6 +9487,9 @@ 67016-67023 rgb_led_ws281x: bit: "0" 67023-67029 rgb_led_ws281x: bit: "0" 67029-67035 rgb_led_ws281x: bit: "0" +66887-66936 rgb_led_ws281x: g: "ef" +66936-66986 rgb_led_ws281x: r: "bf" +66986-67035 rgb_led_ws281x: b: "00" 66887-67035 rgb_led_ws281x: rgb: "#bfef00" 67035-67041 rgb_led_ws281x: bit: "1" 67041-67048 rgb_led_ws281x: bit: "1" @@ -8498,6 +9515,9 @@ 67164-67171 rgb_led_ws281x: bit: "0" 67171-67177 rgb_led_ws281x: bit: "0" 67177-67183 rgb_led_ws281x: bit: "0" +67035-67084 rgb_led_ws281x: g: "df" +67084-67134 rgb_led_ws281x: r: "fe" +67134-67183 rgb_led_ws281x: b: "00" 67035-67183 rgb_led_ws281x: rgb: "#fedf00" 67183-67190 rgb_led_ws281x: bit: "1" 67190-67196 rgb_led_ws281x: bit: "0" @@ -8523,6 +9543,9 @@ 67313-67319 rgb_led_ws281x: bit: "0" 67319-67325 rgb_led_ws281x: bit: "0" 67325-67332 rgb_led_ws281x: bit: "0" +67183-67233 rgb_led_ws281x: g: "bf" +67233-67282 rgb_led_ws281x: r: "7d" +67282-67332 rgb_led_ws281x: b: "00" 67183-67332 rgb_led_ws281x: rgb: "#7dbf00" 67332-67338 rgb_led_ws281x: bit: "0" 67338-67344 rgb_led_ws281x: bit: "1" @@ -8548,6 +9571,9 @@ 67461-67467 rgb_led_ws281x: bit: "0" 67467-67473 rgb_led_ws281x: bit: "0" 67473-67480 rgb_led_ws281x: bit: "0" +67332-67381 rgb_led_ws281x: g: "7e" +67381-67430 rgb_led_ws281x: r: "ff" +67430-67480 rgb_led_ws281x: b: "00" 67332-67480 rgb_led_ws281x: rgb: "#ff7e00" 67480-67486 rgb_led_ws281x: bit: "1" 67486-67492 rgb_led_ws281x: bit: "1" @@ -8573,6 +9599,9 @@ 67609-67615 rgb_led_ws281x: bit: "0" 67615-67621 rgb_led_ws281x: bit: "0" 67621-67628 rgb_led_ws281x: bit: "0" +67480-67529 rgb_led_ws281x: g: "fd" +67529-67578 rgb_led_ws281x: r: "ff" +67578-67628 rgb_led_ws281x: b: "00" 67480-67628 rgb_led_ws281x: rgb: "#fffd00" 67628-67634 rgb_led_ws281x: bit: "1" 67634-67640 rgb_led_ws281x: bit: "1" @@ -8598,6 +9627,9 @@ 67757-67763 rgb_led_ws281x: bit: "0" 67763-67769 rgb_led_ws281x: bit: "0" 67769-67776 rgb_led_ws281x: bit: "0" +67628-67677 rgb_led_ws281x: g: "fb" +67677-67726 rgb_led_ws281x: r: "f7" +67726-67776 rgb_led_ws281x: b: "00" 67628-67776 rgb_led_ws281x: rgb: "#f7fb00" 67776-67782 rgb_led_ws281x: bit: "1" 67782-67788 rgb_led_ws281x: bit: "1" @@ -8623,6 +9655,9 @@ 67905-67911 rgb_led_ws281x: bit: "0" 67911-67917 rgb_led_ws281x: bit: "0" 67917-67924 rgb_led_ws281x: bit: "0" +67776-67825 rgb_led_ws281x: g: "ff" +67825-67874 rgb_led_ws281x: r: "ef" +67874-67924 rgb_led_ws281x: b: "00" 67776-67924 rgb_led_ws281x: rgb: "#efff00" 67924-67930 rgb_led_ws281x: bit: "1" 67930-67936 rgb_led_ws281x: bit: "1" @@ -8648,6 +9683,9 @@ 68053-68059 rgb_led_ws281x: bit: "0" 68059-68066 rgb_led_ws281x: bit: "0" 68066-68072 rgb_led_ws281x: bit: "0" +67924-67973 rgb_led_ws281x: g: "f7" +67973-68022 rgb_led_ws281x: r: "df" +68022-68072 rgb_led_ws281x: b: "00" 67924-68072 rgb_led_ws281x: rgb: "#dff700" 68072-68078 rgb_led_ws281x: bit: "1" 68078-68084 rgb_led_ws281x: bit: "1" @@ -8673,6 +9711,9 @@ 68201-68208 rgb_led_ws281x: bit: "0" 68208-68214 rgb_led_ws281x: bit: "0" 68214-68220 rgb_led_ws281x: bit: "0" +68072-68121 rgb_led_ws281x: g: "ef" +68121-68171 rgb_led_ws281x: r: "bf" +68171-68220 rgb_led_ws281x: b: "00" 68072-68220 rgb_led_ws281x: rgb: "#bfef00" 68220-68226 rgb_led_ws281x: bit: "1" 68226-68233 rgb_led_ws281x: bit: "1" @@ -8698,6 +9739,9 @@ 68349-68356 rgb_led_ws281x: bit: "0" 68356-68362 rgb_led_ws281x: bit: "0" 68362-68368 rgb_led_ws281x: bit: "0" +68220-68269 rgb_led_ws281x: g: "df" +68269-68319 rgb_led_ws281x: r: "fe" +68319-68368 rgb_led_ws281x: b: "00" 68220-68368 rgb_led_ws281x: rgb: "#fedf00" 68368-68375 rgb_led_ws281x: bit: "1" 68375-68381 rgb_led_ws281x: bit: "0" @@ -8723,6 +9767,9 @@ 68498-68504 rgb_led_ws281x: bit: "0" 68504-68510 rgb_led_ws281x: bit: "0" 68510-68517 rgb_led_ws281x: bit: "0" +68368-68418 rgb_led_ws281x: g: "bf" +68418-68467 rgb_led_ws281x: r: "7d" +68467-68517 rgb_led_ws281x: b: "00" 68368-68517 rgb_led_ws281x: rgb: "#7dbf00" 68517-68523 rgb_led_ws281x: bit: "0" 68523-68529 rgb_led_ws281x: bit: "1" @@ -8748,6 +9795,9 @@ 68646-68652 rgb_led_ws281x: bit: "0" 68652-68658 rgb_led_ws281x: bit: "0" 68658-68665 rgb_led_ws281x: bit: "0" +68517-68566 rgb_led_ws281x: g: "7e" +68566-68615 rgb_led_ws281x: r: "fb" +68615-68665 rgb_led_ws281x: b: "00" 68517-68665 rgb_led_ws281x: rgb: "#fb7e00" 68665-68671 rgb_led_ws281x: bit: "1" 68671-68677 rgb_led_ws281x: bit: "1" @@ -8773,6 +9823,9 @@ 68794-68800 rgb_led_ws281x: bit: "0" 68800-68806 rgb_led_ws281x: bit: "0" 68806-68813 rgb_led_ws281x: bit: "0" +68665-68714 rgb_led_ws281x: g: "fd" +68714-68763 rgb_led_ws281x: r: "ff" +68763-68813 rgb_led_ws281x: b: "00" 68665-68813 rgb_led_ws281x: rgb: "#fffd00" 68813-68819 rgb_led_ws281x: bit: "1" 68819-68825 rgb_led_ws281x: bit: "1" @@ -8798,6 +9851,9 @@ 68942-68948 rgb_led_ws281x: bit: "0" 68948-68954 rgb_led_ws281x: bit: "0" 68954-68961 rgb_led_ws281x: bit: "0" +68813-68862 rgb_led_ws281x: g: "fb" +68862-68911 rgb_led_ws281x: r: "f7" +68911-68961 rgb_led_ws281x: b: "00" 68813-68961 rgb_led_ws281x: rgb: "#f7fb00" 68961-68967 rgb_led_ws281x: bit: "1" 68967-68973 rgb_led_ws281x: bit: "1" @@ -8823,6 +9879,9 @@ 69090-69096 rgb_led_ws281x: bit: "0" 69096-69102 rgb_led_ws281x: bit: "0" 69102-69109 rgb_led_ws281x: bit: "0" +68961-69010 rgb_led_ws281x: g: "f7" +69010-69059 rgb_led_ws281x: r: "ef" +69059-69109 rgb_led_ws281x: b: "00" 68961-69109 rgb_led_ws281x: rgb: "#eff700" 69109-69115 rgb_led_ws281x: bit: "1" 69115-69121 rgb_led_ws281x: bit: "1" @@ -8848,6 +9907,9 @@ 69238-69244 rgb_led_ws281x: bit: "0" 69244-69251 rgb_led_ws281x: bit: "0" 69251-69257 rgb_led_ws281x: bit: "0" +69109-69158 rgb_led_ws281x: g: "f7" +69158-69207 rgb_led_ws281x: r: "df" +69207-69257 rgb_led_ws281x: b: "00" 69109-69257 rgb_led_ws281x: rgb: "#dff700" 69257-69263 rgb_led_ws281x: bit: "1" 69263-69269 rgb_led_ws281x: bit: "1" @@ -8873,6 +9935,9 @@ 69386-69393 rgb_led_ws281x: bit: "0" 69393-69399 rgb_led_ws281x: bit: "0" 69399-69405 rgb_led_ws281x: bit: "0" +69257-69306 rgb_led_ws281x: g: "ef" +69306-69356 rgb_led_ws281x: r: "bf" +69356-69405 rgb_led_ws281x: b: "00" 69257-69405 rgb_led_ws281x: rgb: "#bfef00" 69405-69411 rgb_led_ws281x: bit: "1" 69411-69418 rgb_led_ws281x: bit: "1" @@ -8898,6 +9963,9 @@ 69534-69541 rgb_led_ws281x: bit: "0" 69541-69547 rgb_led_ws281x: bit: "0" 69547-69553 rgb_led_ws281x: bit: "0" +69405-69455 rgb_led_ws281x: g: "df" +69455-69504 rgb_led_ws281x: r: "7e" +69504-69553 rgb_led_ws281x: b: "00" 69405-69553 rgb_led_ws281x: rgb: "#7edf00" 69553-69560 rgb_led_ws281x: bit: "1" 69560-69566 rgb_led_ws281x: bit: "0" @@ -8923,6 +9991,9 @@ 69683-69689 rgb_led_ws281x: bit: "0" 69689-69695 rgb_led_ws281x: bit: "0" 69695-69702 rgb_led_ws281x: bit: "0" +69553-69603 rgb_led_ws281x: g: "bf" +69603-69652 rgb_led_ws281x: r: "fd" +69652-69702 rgb_led_ws281x: b: "00" 69553-69702 rgb_led_ws281x: rgb: "#fdbf00" 69702-69708 rgb_led_ws281x: bit: "0" 69708-69714 rgb_led_ws281x: bit: "1" @@ -8948,6 +10019,9 @@ 69831-69837 rgb_led_ws281x: bit: "0" 69837-69843 rgb_led_ws281x: bit: "0" 69843-69850 rgb_led_ws281x: bit: "0" +69702-69751 rgb_led_ws281x: g: "7e" +69751-69800 rgb_led_ws281x: r: "fb" +69800-69850 rgb_led_ws281x: b: "00" 69702-69850 rgb_led_ws281x: rgb: "#fb7e00" 69850-69856 rgb_led_ws281x: bit: "1" 69856-69862 rgb_led_ws281x: bit: "1" @@ -8973,6 +10047,9 @@ 69979-69985 rgb_led_ws281x: bit: "0" 69985-69991 rgb_led_ws281x: bit: "0" 69991-69998 rgb_led_ws281x: bit: "0" +69850-69899 rgb_led_ws281x: g: "fd" +69899-69948 rgb_led_ws281x: r: "f7" +69948-69998 rgb_led_ws281x: b: "00" 69850-69998 rgb_led_ws281x: rgb: "#f7fd00" 69998-70004 rgb_led_ws281x: bit: "1" 70004-70010 rgb_led_ws281x: bit: "1" @@ -8998,6 +10075,9 @@ 70127-70133 rgb_led_ws281x: bit: "0" 70133-70139 rgb_led_ws281x: bit: "0" 70139-70146 rgb_led_ws281x: bit: "0" +69998-70047 rgb_led_ws281x: g: "fb" +70047-70096 rgb_led_ws281x: r: "f7" +70096-70146 rgb_led_ws281x: b: "00" 69998-70146 rgb_led_ws281x: rgb: "#f7fb00" 70146-70152 rgb_led_ws281x: bit: "1" 70152-70158 rgb_led_ws281x: bit: "1" @@ -9023,6 +10103,9 @@ 70275-70281 rgb_led_ws281x: bit: "0" 70281-70287 rgb_led_ws281x: bit: "0" 70287-70294 rgb_led_ws281x: bit: "0" +70146-70195 rgb_led_ws281x: g: "f7" +70195-70244 rgb_led_ws281x: r: "ef" +70244-70294 rgb_led_ws281x: b: "00" 70146-70294 rgb_led_ws281x: rgb: "#eff700" 70294-70300 rgb_led_ws281x: bit: "1" 70300-70306 rgb_led_ws281x: bit: "1" @@ -9048,6 +10131,9 @@ 70423-70429 rgb_led_ws281x: bit: "0" 70429-70436 rgb_led_ws281x: bit: "0" 70436-70442 rgb_led_ws281x: bit: "0" +70294-70343 rgb_led_ws281x: g: "ef" +70343-70393 rgb_led_ws281x: r: "df" +70393-70442 rgb_led_ws281x: b: "00" 70294-70442 rgb_led_ws281x: rgb: "#dfef00" 70442-70448 rgb_led_ws281x: bit: "1" 70448-70454 rgb_led_ws281x: bit: "1" @@ -9073,6 +10159,9 @@ 70571-70578 rgb_led_ws281x: bit: "0" 70578-70584 rgb_led_ws281x: bit: "0" 70584-70590 rgb_led_ws281x: bit: "0" +70442-70491 rgb_led_ws281x: g: "ef" +70491-70541 rgb_led_ws281x: r: "bf" +70541-70590 rgb_led_ws281x: b: "00" 70442-70590 rgb_led_ws281x: rgb: "#bfef00" 70590-70596 rgb_led_ws281x: bit: "1" 70596-70603 rgb_led_ws281x: bit: "1" @@ -9098,6 +10187,9 @@ 70720-70726 rgb_led_ws281x: bit: "0" 70726-70732 rgb_led_ws281x: bit: "0" 70732-70738 rgb_led_ws281x: bit: "0" +70590-70640 rgb_led_ws281x: g: "df" +70640-70689 rgb_led_ws281x: r: "7e" +70689-70738 rgb_led_ws281x: b: "00" 70590-70738 rgb_led_ws281x: rgb: "#7edf00" 70738-70745 rgb_led_ws281x: bit: "1" 70745-70751 rgb_led_ws281x: bit: "0" @@ -9123,6 +10215,9 @@ 70868-70874 rgb_led_ws281x: bit: "0" 70874-70880 rgb_led_ws281x: bit: "0" 70880-70887 rgb_led_ws281x: bit: "0" +70738-70788 rgb_led_ws281x: g: "be" +70788-70837 rgb_led_ws281x: r: "fd" +70837-70887 rgb_led_ws281x: b: "00" 70738-70887 rgb_led_ws281x: rgb: "#fdbe00" 70887-70893 rgb_led_ws281x: bit: "0" 70893-70899 rgb_led_ws281x: bit: "1" @@ -9148,6 +10243,9 @@ 71016-71022 rgb_led_ws281x: bit: "0" 71022-71028 rgb_led_ws281x: bit: "0" 71028-71035 rgb_led_ws281x: bit: "0" +70887-70936 rgb_led_ws281x: g: "7e" +70936-70985 rgb_led_ws281x: r: "fb" +70985-71035 rgb_led_ws281x: b: "00" 70887-71035 rgb_led_ws281x: rgb: "#fb7e00" 71035-71041 rgb_led_ws281x: bit: "1" 71041-71047 rgb_led_ws281x: bit: "1" @@ -9173,6 +10271,9 @@ 71164-71170 rgb_led_ws281x: bit: "0" 71170-71176 rgb_led_ws281x: bit: "0" 71176-71183 rgb_led_ws281x: bit: "0" +71035-71084 rgb_led_ws281x: g: "fd" +71084-71133 rgb_led_ws281x: r: "f7" +71133-71183 rgb_led_ws281x: b: "00" 71035-71183 rgb_led_ws281x: rgb: "#f7fd00" 71183-71189 rgb_led_ws281x: bit: "1" 71189-71195 rgb_led_ws281x: bit: "1" @@ -9198,6 +10299,9 @@ 71312-71318 rgb_led_ws281x: bit: "0" 71318-71324 rgb_led_ws281x: bit: "0" 71324-71331 rgb_led_ws281x: bit: "0" +71183-71232 rgb_led_ws281x: g: "fb" +71232-71281 rgb_led_ws281x: r: "ff" +71281-71331 rgb_led_ws281x: b: "00" 71183-71331 rgb_led_ws281x: rgb: "#fffb00" 71331-71337 rgb_led_ws281x: bit: "1" 71337-71343 rgb_led_ws281x: bit: "1" @@ -9223,6 +10327,9 @@ 71460-71466 rgb_led_ws281x: bit: "0" 71466-71472 rgb_led_ws281x: bit: "0" 71472-71479 rgb_led_ws281x: bit: "0" +71331-71380 rgb_led_ws281x: g: "f7" +71380-71429 rgb_led_ws281x: r: "ef" +71429-71479 rgb_led_ws281x: b: "00" 71331-71479 rgb_led_ws281x: rgb: "#eff700" 71479-71485 rgb_led_ws281x: bit: "1" 71485-71491 rgb_led_ws281x: bit: "1" @@ -9248,6 +10355,9 @@ 71608-71614 rgb_led_ws281x: bit: "0" 71614-71621 rgb_led_ws281x: bit: "0" 71621-71627 rgb_led_ws281x: bit: "0" +71479-71528 rgb_led_ws281x: g: "ef" +71528-71578 rgb_led_ws281x: r: "df" +71578-71627 rgb_led_ws281x: b: "00" 71479-71627 rgb_led_ws281x: rgb: "#dfef00" 71627-71633 rgb_led_ws281x: bit: "1" 71633-71639 rgb_led_ws281x: bit: "1" @@ -9273,6 +10383,9 @@ 71756-71763 rgb_led_ws281x: bit: "0" 71763-71769 rgb_led_ws281x: bit: "0" 71769-71775 rgb_led_ws281x: bit: "0" +71627-71676 rgb_led_ws281x: g: "ff" +71676-71726 rgb_led_ws281x: r: "bf" +71726-71775 rgb_led_ws281x: b: "00" 71627-71775 rgb_led_ws281x: rgb: "#bfff00" 71775-71781 rgb_led_ws281x: bit: "1" 71781-71788 rgb_led_ws281x: bit: "1" @@ -9298,6 +10411,9 @@ 71905-71911 rgb_led_ws281x: bit: "0" 71911-71917 rgb_led_ws281x: bit: "0" 71917-71923 rgb_led_ws281x: bit: "0" +71775-71825 rgb_led_ws281x: g: "df" +71825-71874 rgb_led_ws281x: r: "7e" +71874-71923 rgb_led_ws281x: b: "00" 71775-71923 rgb_led_ws281x: rgb: "#7edf00" 71923-71930 rgb_led_ws281x: bit: "1" 71930-71936 rgb_led_ws281x: bit: "0" @@ -9323,6 +10439,9 @@ 72053-72059 rgb_led_ws281x: bit: "0" 72059-72065 rgb_led_ws281x: bit: "0" 72065-72072 rgb_led_ws281x: bit: "0" +71923-71973 rgb_led_ws281x: g: "be" +71973-72022 rgb_led_ws281x: r: "fd" +72022-72072 rgb_led_ws281x: b: "00" 71923-72072 rgb_led_ws281x: rgb: "#fdbe00" 72072-72078 rgb_led_ws281x: bit: "0" 72078-72084 rgb_led_ws281x: bit: "1" @@ -9348,6 +10467,9 @@ 72201-72207 rgb_led_ws281x: bit: "0" 72207-72213 rgb_led_ws281x: bit: "0" 72213-72220 rgb_led_ws281x: bit: "0" +72072-72121 rgb_led_ws281x: g: "7d" +72121-72170 rgb_led_ws281x: r: "fb" +72170-72220 rgb_led_ws281x: b: "00" 72072-72220 rgb_led_ws281x: rgb: "#fb7d00" 72220-72226 rgb_led_ws281x: bit: "1" 72226-72232 rgb_led_ws281x: bit: "1" @@ -9373,6 +10495,9 @@ 72349-72355 rgb_led_ws281x: bit: "0" 72355-72361 rgb_led_ws281x: bit: "0" 72361-72368 rgb_led_ws281x: bit: "0" +72220-72269 rgb_led_ws281x: g: "fd" +72269-72318 rgb_led_ws281x: r: "f7" +72318-72368 rgb_led_ws281x: b: "00" 72220-72368 rgb_led_ws281x: rgb: "#f7fd00" 72368-72374 rgb_led_ws281x: bit: "1" 72374-72380 rgb_led_ws281x: bit: "1" @@ -9398,6 +10523,9 @@ 72497-72503 rgb_led_ws281x: bit: "0" 72503-72509 rgb_led_ws281x: bit: "0" 72509-72516 rgb_led_ws281x: bit: "0" +72368-72417 rgb_led_ws281x: g: "fb" +72417-72466 rgb_led_ws281x: r: "ef" +72466-72516 rgb_led_ws281x: b: "00" 72368-72516 rgb_led_ws281x: rgb: "#effb00" 72516-72522 rgb_led_ws281x: bit: "1" 72522-72528 rgb_led_ws281x: bit: "1" @@ -9423,6 +10551,9 @@ 72645-72651 rgb_led_ws281x: bit: "0" 72651-72657 rgb_led_ws281x: bit: "0" 72657-72664 rgb_led_ws281x: bit: "0" +72516-72565 rgb_led_ws281x: g: "f7" +72565-72614 rgb_led_ws281x: r: "ef" +72614-72664 rgb_led_ws281x: b: "00" 72516-72664 rgb_led_ws281x: rgb: "#eff700" 72664-72670 rgb_led_ws281x: bit: "1" 72670-72676 rgb_led_ws281x: bit: "1" @@ -9448,6 +10579,9 @@ 72793-72799 rgb_led_ws281x: bit: "0" 72799-72806 rgb_led_ws281x: bit: "0" 72806-72812 rgb_led_ws281x: bit: "0" +72664-72713 rgb_led_ws281x: g: "ef" +72713-72763 rgb_led_ws281x: r: "df" +72763-72812 rgb_led_ws281x: b: "00" 72664-72812 rgb_led_ws281x: rgb: "#dfef00" 72812-72818 rgb_led_ws281x: bit: "1" 72818-72825 rgb_led_ws281x: bit: "1" @@ -9473,6 +10607,9 @@ 72941-72948 rgb_led_ws281x: bit: "0" 72948-72954 rgb_led_ws281x: bit: "0" 72954-72960 rgb_led_ws281x: bit: "0" +72812-72861 rgb_led_ws281x: g: "df" +72861-72911 rgb_led_ws281x: r: "be" +72911-72960 rgb_led_ws281x: b: "00" 72812-72960 rgb_led_ws281x: rgb: "#bedf00" 72960-72966 rgb_led_ws281x: bit: "1" 72966-72973 rgb_led_ws281x: bit: "1" @@ -9498,6 +10635,9 @@ 73090-73096 rgb_led_ws281x: bit: "0" 73096-73102 rgb_led_ws281x: bit: "0" 73102-73108 rgb_led_ws281x: bit: "0" +72960-73010 rgb_led_ws281x: g: "df" +73010-73059 rgb_led_ws281x: r: "7f" +73059-73108 rgb_led_ws281x: b: "00" 72960-73108 rgb_led_ws281x: rgb: "#7fdf00" 73108-73115 rgb_led_ws281x: bit: "1" 73115-73121 rgb_led_ws281x: bit: "0" @@ -9523,6 +10663,9 @@ 73238-73244 rgb_led_ws281x: bit: "0" 73244-73250 rgb_led_ws281x: bit: "0" 73250-73257 rgb_led_ws281x: bit: "0" +73108-73158 rgb_led_ws281x: g: "be" +73158-73207 rgb_led_ws281x: r: "fd" +73207-73257 rgb_led_ws281x: b: "00" 73108-73257 rgb_led_ws281x: rgb: "#fdbe00" 73257-73263 rgb_led_ws281x: bit: "0" 73263-73269 rgb_led_ws281x: bit: "1" @@ -9548,6 +10691,9 @@ 73386-73392 rgb_led_ws281x: bit: "0" 73392-73398 rgb_led_ws281x: bit: "0" 73398-73405 rgb_led_ws281x: bit: "0" +73257-73306 rgb_led_ws281x: g: "7d" +73306-73355 rgb_led_ws281x: r: "fb" +73355-73405 rgb_led_ws281x: b: "00" 73257-73405 rgb_led_ws281x: rgb: "#fb7d00" 73405-73411 rgb_led_ws281x: bit: "1" 73411-73417 rgb_led_ws281x: bit: "1" @@ -9573,6 +10719,9 @@ 73534-73540 rgb_led_ws281x: bit: "0" 73540-73546 rgb_led_ws281x: bit: "0" 73546-73553 rgb_led_ws281x: bit: "0" +73405-73454 rgb_led_ws281x: g: "ff" +73454-73503 rgb_led_ws281x: r: "f7" +73503-73553 rgb_led_ws281x: b: "00" 73405-73553 rgb_led_ws281x: rgb: "#f7ff00" 73553-73559 rgb_led_ws281x: bit: "1" 73559-73565 rgb_led_ws281x: bit: "1" @@ -9598,6 +10747,9 @@ 73682-73688 rgb_led_ws281x: bit: "0" 73688-73694 rgb_led_ws281x: bit: "0" 73694-73701 rgb_led_ws281x: bit: "0" +73553-73602 rgb_led_ws281x: g: "fb" +73602-73651 rgb_led_ws281x: r: "ef" +73651-73701 rgb_led_ws281x: b: "00" 73553-73701 rgb_led_ws281x: rgb: "#effb00" 73701-73707 rgb_led_ws281x: bit: "1" 73707-73713 rgb_led_ws281x: bit: "1" @@ -9623,6 +10775,9 @@ 73830-73836 rgb_led_ws281x: bit: "0" 73836-73842 rgb_led_ws281x: bit: "0" 73842-73849 rgb_led_ws281x: bit: "0" +73701-73750 rgb_led_ws281x: g: "f7" +73750-73799 rgb_led_ws281x: r: "ff" +73799-73849 rgb_led_ws281x: b: "00" 73701-73849 rgb_led_ws281x: rgb: "#fff700" 73849-73855 rgb_led_ws281x: bit: "1" 73855-73861 rgb_led_ws281x: bit: "1" @@ -9648,6 +10803,9 @@ 73978-73984 rgb_led_ws281x: bit: "0" 73984-73991 rgb_led_ws281x: bit: "0" 73991-73997 rgb_led_ws281x: bit: "0" +73849-73898 rgb_led_ws281x: g: "ef" +73898-73948 rgb_led_ws281x: r: "df" +73948-73997 rgb_led_ws281x: b: "00" 73849-73997 rgb_led_ws281x: rgb: "#dfef00" 73997-74003 rgb_led_ws281x: bit: "1" 74003-74010 rgb_led_ws281x: bit: "1" @@ -9673,6 +10831,9 @@ 74126-74133 rgb_led_ws281x: bit: "0" 74133-74139 rgb_led_ws281x: bit: "0" 74139-74145 rgb_led_ws281x: bit: "0" +73997-74046 rgb_led_ws281x: g: "df" +74046-74096 rgb_led_ws281x: r: "be" +74096-74145 rgb_led_ws281x: b: "00" 73997-74145 rgb_led_ws281x: rgb: "#bedf00" 74145-74152 rgb_led_ws281x: bit: "1" 74152-74158 rgb_led_ws281x: bit: "0" @@ -9698,6 +10859,9 @@ 74275-74281 rgb_led_ws281x: bit: "0" 74281-74287 rgb_led_ws281x: bit: "0" 74287-74293 rgb_led_ws281x: bit: "0" +74145-74195 rgb_led_ws281x: g: "bf" +74195-74244 rgb_led_ws281x: r: "7d" +74244-74293 rgb_led_ws281x: b: "00" 74145-74293 rgb_led_ws281x: rgb: "#7dbf00" 74293-74300 rgb_led_ws281x: bit: "1" 74300-74306 rgb_led_ws281x: bit: "0" @@ -9723,6 +10887,9 @@ 74423-74429 rgb_led_ws281x: bit: "0" 74429-74435 rgb_led_ws281x: bit: "0" 74435-74442 rgb_led_ws281x: bit: "0" +74293-74343 rgb_led_ws281x: g: "be" +74343-74392 rgb_led_ws281x: r: "fd" +74392-74442 rgb_led_ws281x: b: "00" 74293-74442 rgb_led_ws281x: rgb: "#fdbe00" 74442-74448 rgb_led_ws281x: bit: "0" 74448-74454 rgb_led_ws281x: bit: "1" @@ -9748,6 +10915,9 @@ 74571-74577 rgb_led_ws281x: bit: "0" 74577-74583 rgb_led_ws281x: bit: "0" 74583-74590 rgb_led_ws281x: bit: "0" +74442-74491 rgb_led_ws281x: g: "7d" +74491-74540 rgb_led_ws281x: r: "fb" +74540-74590 rgb_led_ws281x: b: "00" 74442-74590 rgb_led_ws281x: rgb: "#fb7d00" 74590-74596 rgb_led_ws281x: bit: "1" 74596-74602 rgb_led_ws281x: bit: "1" @@ -9773,6 +10943,9 @@ 74719-74725 rgb_led_ws281x: bit: "0" 74725-74731 rgb_led_ws281x: bit: "0" 74731-74738 rgb_led_ws281x: bit: "0" +74590-74639 rgb_led_ws281x: g: "ff" +74639-74688 rgb_led_ws281x: r: "f7" +74688-74738 rgb_led_ws281x: b: "00" 74590-74738 rgb_led_ws281x: rgb: "#f7ff00" 74738-74744 rgb_led_ws281x: bit: "1" 74744-74750 rgb_led_ws281x: bit: "1" @@ -9798,6 +10971,9 @@ 74867-74873 rgb_led_ws281x: bit: "0" 74873-74879 rgb_led_ws281x: bit: "0" 74879-74886 rgb_led_ws281x: bit: "0" +74738-74787 rgb_led_ws281x: g: "fb" +74787-74836 rgb_led_ws281x: r: "ef" +74836-74886 rgb_led_ws281x: b: "00" 74738-74886 rgb_led_ws281x: rgb: "#effb00" 74886-74892 rgb_led_ws281x: bit: "1" 74892-74898 rgb_led_ws281x: bit: "1" @@ -9823,6 +10999,9 @@ 75015-75021 rgb_led_ws281x: bit: "0" 75021-75028 rgb_led_ws281x: bit: "0" 75028-75034 rgb_led_ws281x: bit: "0" +74886-74935 rgb_led_ws281x: g: "f7" +74935-74984 rgb_led_ws281x: r: "df" +74984-75034 rgb_led_ws281x: b: "00" 74886-75034 rgb_led_ws281x: rgb: "#dff700" 75034-75040 rgb_led_ws281x: bit: "1" 75040-75046 rgb_led_ws281x: bit: "1" @@ -9848,6 +11027,9 @@ 75163-75169 rgb_led_ws281x: bit: "0" 75169-75176 rgb_led_ws281x: bit: "0" 75176-75182 rgb_led_ws281x: bit: "0" +75034-75083 rgb_led_ws281x: g: "ef" +75083-75133 rgb_led_ws281x: r: "ff" +75133-75182 rgb_led_ws281x: b: "00" 75034-75182 rgb_led_ws281x: rgb: "#ffef00" 75182-75188 rgb_led_ws281x: bit: "1" 75188-75195 rgb_led_ws281x: bit: "1" @@ -9873,6 +11055,9 @@ 75311-75318 rgb_led_ws281x: bit: "0" 75318-75324 rgb_led_ws281x: bit: "0" 75324-75330 rgb_led_ws281x: bit: "0" +75182-75231 rgb_led_ws281x: g: "df" +75231-75281 rgb_led_ws281x: r: "be" +75281-75330 rgb_led_ws281x: b: "00" 75182-75330 rgb_led_ws281x: rgb: "#bedf00" 75330-75337 rgb_led_ws281x: bit: "1" 75337-75343 rgb_led_ws281x: bit: "0" @@ -9898,6 +11083,9 @@ 75460-75466 rgb_led_ws281x: bit: "0" 75466-75472 rgb_led_ws281x: bit: "0" 75472-75478 rgb_led_ws281x: bit: "0" +75330-75380 rgb_led_ws281x: g: "bf" +75380-75429 rgb_led_ws281x: r: "7d" +75429-75478 rgb_led_ws281x: b: "00" 75330-75478 rgb_led_ws281x: rgb: "#7dbf00" 75478-75485 rgb_led_ws281x: bit: "1" 75485-75491 rgb_led_ws281x: bit: "1" @@ -9923,6 +11111,9 @@ 75608-75614 rgb_led_ws281x: bit: "0" 75614-75620 rgb_led_ws281x: bit: "0" 75620-75627 rgb_led_ws281x: bit: "0" +75478-75528 rgb_led_ws281x: g: "fe" +75528-75577 rgb_led_ws281x: r: "ff" +75577-75627 rgb_led_ws281x: b: "00" 75478-75627 rgb_led_ws281x: rgb: "#fffe00" 75627-75633 rgb_led_ws281x: bit: "0" 75633-75639 rgb_led_ws281x: bit: "1" @@ -9948,6 +11139,9 @@ 75756-75762 rgb_led_ws281x: bit: "0" 75762-75768 rgb_led_ws281x: bit: "0" 75768-75775 rgb_led_ws281x: bit: "0" +75627-75676 rgb_led_ws281x: g: "7d" +75676-75725 rgb_led_ws281x: r: "fb" +75725-75775 rgb_led_ws281x: b: "00" 75627-75775 rgb_led_ws281x: rgb: "#fb7d00" 75775-75781 rgb_led_ws281x: bit: "1" 75781-75787 rgb_led_ws281x: bit: "1" @@ -9973,6 +11167,9 @@ 75904-75910 rgb_led_ws281x: bit: "0" 75910-75916 rgb_led_ws281x: bit: "0" 75916-75923 rgb_led_ws281x: bit: "0" +75775-75824 rgb_led_ws281x: g: "ff" +75824-75873 rgb_led_ws281x: r: "f7" +75873-75923 rgb_led_ws281x: b: "00" 75775-75923 rgb_led_ws281x: rgb: "#f7ff00" 75923-75929 rgb_led_ws281x: bit: "1" 75929-75935 rgb_led_ws281x: bit: "1" @@ -9998,6 +11195,9 @@ 76052-76058 rgb_led_ws281x: bit: "0" 76058-76064 rgb_led_ws281x: bit: "0" 76064-76071 rgb_led_ws281x: bit: "0" +75923-75972 rgb_led_ws281x: g: "ff" +75972-76021 rgb_led_ws281x: r: "ef" +76021-76071 rgb_led_ws281x: b: "00" 75923-76071 rgb_led_ws281x: rgb: "#efff00" 76071-76077 rgb_led_ws281x: bit: "1" 76077-76083 rgb_led_ws281x: bit: "1" @@ -10023,6 +11223,9 @@ 76200-76206 rgb_led_ws281x: bit: "0" 76206-76213 rgb_led_ws281x: bit: "0" 76213-76219 rgb_led_ws281x: bit: "0" +76071-76120 rgb_led_ws281x: g: "f7" +76120-76169 rgb_led_ws281x: r: "df" +76169-76219 rgb_led_ws281x: b: "00" 76071-76219 rgb_led_ws281x: rgb: "#dff700" 76219-76225 rgb_led_ws281x: bit: "1" 76225-76231 rgb_led_ws281x: bit: "1" @@ -10048,6 +11251,9 @@ 76348-76355 rgb_led_ws281x: bit: "0" 76355-76361 rgb_led_ws281x: bit: "0" 76361-76367 rgb_led_ws281x: bit: "0" +76219-76268 rgb_led_ws281x: g: "ef" +76268-76318 rgb_led_ws281x: r: "bf" +76318-76367 rgb_led_ws281x: b: "00" 76219-76367 rgb_led_ws281x: rgb: "#bfef00" 76367-76373 rgb_led_ws281x: bit: "1" 76373-76380 rgb_led_ws281x: bit: "1" @@ -10073,6 +11279,9 @@ 76496-76503 rgb_led_ws281x: bit: "0" 76503-76509 rgb_led_ws281x: bit: "0" 76509-76515 rgb_led_ws281x: bit: "0" +76367-76416 rgb_led_ws281x: g: "df" +76416-76466 rgb_led_ws281x: r: "be" +76466-76515 rgb_led_ws281x: b: "00" 76367-76515 rgb_led_ws281x: rgb: "#bedf00" 76515-76522 rgb_led_ws281x: bit: "1" 76522-76528 rgb_led_ws281x: bit: "0" @@ -10098,6 +11307,9 @@ 76645-76651 rgb_led_ws281x: bit: "0" 76651-76657 rgb_led_ws281x: bit: "0" 76657-76664 rgb_led_ws281x: bit: "0" +76515-76565 rgb_led_ws281x: g: "bf" +76565-76614 rgb_led_ws281x: r: "7d" +76614-76664 rgb_led_ws281x: b: "00" 76515-76664 rgb_led_ws281x: rgb: "#7dbf00" 76664-76670 rgb_led_ws281x: bit: "0" 76670-76676 rgb_led_ws281x: bit: "1" @@ -10123,6 +11335,9 @@ 76793-76799 rgb_led_ws281x: bit: "0" 76799-76805 rgb_led_ws281x: bit: "0" 76805-76812 rgb_led_ws281x: bit: "0" +76664-76713 rgb_led_ws281x: g: "7e" +76713-76762 rgb_led_ws281x: r: "ff" +76762-76812 rgb_led_ws281x: b: "00" 76664-76812 rgb_led_ws281x: rgb: "#ff7e00" 76812-76818 rgb_led_ws281x: bit: "0" 76818-76824 rgb_led_ws281x: bit: "1" @@ -10148,6 +11363,9 @@ 76941-76947 rgb_led_ws281x: bit: "0" 76947-76953 rgb_led_ws281x: bit: "0" 76953-76960 rgb_led_ws281x: bit: "0" +76812-76861 rgb_led_ws281x: g: "7d" +76861-76910 rgb_led_ws281x: r: "ff" +76910-76960 rgb_led_ws281x: b: "00" 76812-76960 rgb_led_ws281x: rgb: "#ff7d00" 76960-76966 rgb_led_ws281x: bit: "1" 76966-76972 rgb_led_ws281x: bit: "1" @@ -10173,6 +11391,9 @@ 77089-77095 rgb_led_ws281x: bit: "0" 77095-77101 rgb_led_ws281x: bit: "0" 77101-77108 rgb_led_ws281x: bit: "0" +76960-77009 rgb_led_ws281x: g: "fb" +77009-77058 rgb_led_ws281x: r: "f7" +77058-77108 rgb_led_ws281x: b: "00" 76960-77108 rgb_led_ws281x: rgb: "#f7fb00" 77108-77114 rgb_led_ws281x: bit: "1" 77114-77120 rgb_led_ws281x: bit: "1" @@ -10198,6 +11419,9 @@ 77237-77243 rgb_led_ws281x: bit: "0" 77243-77249 rgb_led_ws281x: bit: "0" 77249-77256 rgb_led_ws281x: bit: "0" +77108-77157 rgb_led_ws281x: g: "ff" +77157-77206 rgb_led_ws281x: r: "ef" +77206-77256 rgb_led_ws281x: b: "00" 77108-77256 rgb_led_ws281x: rgb: "#efff00" 77256-77262 rgb_led_ws281x: bit: "1" 77262-77268 rgb_led_ws281x: bit: "1" @@ -10223,6 +11447,9 @@ 77385-77391 rgb_led_ws281x: bit: "0" 77391-77398 rgb_led_ws281x: bit: "0" 77398-77404 rgb_led_ws281x: bit: "0" +77256-77305 rgb_led_ws281x: g: "f7" +77305-77354 rgb_led_ws281x: r: "df" +77354-77404 rgb_led_ws281x: b: "00" 77256-77404 rgb_led_ws281x: rgb: "#dff700" 77404-77410 rgb_led_ws281x: bit: "1" 77410-77416 rgb_led_ws281x: bit: "1" @@ -10248,6 +11475,9 @@ 77533-77540 rgb_led_ws281x: bit: "0" 77540-77546 rgb_led_ws281x: bit: "0" 77546-77552 rgb_led_ws281x: bit: "0" +77404-77453 rgb_led_ws281x: g: "ef" +77453-77503 rgb_led_ws281x: r: "bf" +77503-77552 rgb_led_ws281x: b: "00" 77404-77552 rgb_led_ws281x: rgb: "#bfef00" 77552-77558 rgb_led_ws281x: bit: "1" 77558-77565 rgb_led_ws281x: bit: "1" @@ -10273,6 +11503,9 @@ 77681-77688 rgb_led_ws281x: bit: "0" 77688-77694 rgb_led_ws281x: bit: "0" 77694-77700 rgb_led_ws281x: bit: "0" +77552-77601 rgb_led_ws281x: g: "df" +77601-77651 rgb_led_ws281x: r: "fe" +77651-77700 rgb_led_ws281x: b: "00" 77552-77700 rgb_led_ws281x: rgb: "#fedf00" 77700-77707 rgb_led_ws281x: bit: "1" 77707-77713 rgb_led_ws281x: bit: "0" @@ -10298,6 +11531,9 @@ 77830-77836 rgb_led_ws281x: bit: "0" 77836-77842 rgb_led_ws281x: bit: "0" 77842-77849 rgb_led_ws281x: bit: "0" +77700-77750 rgb_led_ws281x: g: "bf" +77750-77799 rgb_led_ws281x: r: "7d" +77799-77849 rgb_led_ws281x: b: "00" 77700-77849 rgb_led_ws281x: rgb: "#7dbf00" 77849-77855 rgb_led_ws281x: bit: "0" 77855-77861 rgb_led_ws281x: bit: "1" @@ -10323,6 +11559,9 @@ 77978-77984 rgb_led_ws281x: bit: "0" 77984-77990 rgb_led_ws281x: bit: "0" 77990-77997 rgb_led_ws281x: bit: "0" +77849-77898 rgb_led_ws281x: g: "7e" +77898-77947 rgb_led_ws281x: r: "ff" +77947-77997 rgb_led_ws281x: b: "00" 77849-77997 rgb_led_ws281x: rgb: "#ff7e00" 77997-78003 rgb_led_ws281x: bit: "1" 78003-78009 rgb_led_ws281x: bit: "1" @@ -10348,6 +11587,9 @@ 78126-78132 rgb_led_ws281x: bit: "0" 78132-78138 rgb_led_ws281x: bit: "0" 78138-78145 rgb_led_ws281x: bit: "0" +77997-78046 rgb_led_ws281x: g: "fd" +78046-78095 rgb_led_ws281x: r: "ff" +78095-78145 rgb_led_ws281x: b: "00" 77997-78145 rgb_led_ws281x: rgb: "#fffd00" 78145-78151 rgb_led_ws281x: bit: "1" 78151-78157 rgb_led_ws281x: bit: "1" @@ -10373,6 +11615,9 @@ 78274-78280 rgb_led_ws281x: bit: "0" 78280-78286 rgb_led_ws281x: bit: "0" 78286-78293 rgb_led_ws281x: bit: "0" +78145-78194 rgb_led_ws281x: g: "fb" +78194-78243 rgb_led_ws281x: r: "f7" +78243-78293 rgb_led_ws281x: b: "00" 78145-78293 rgb_led_ws281x: rgb: "#f7fb00" 78293-78299 rgb_led_ws281x: bit: "1" 78299-78305 rgb_led_ws281x: bit: "1" @@ -10398,6 +11643,9 @@ 78422-78428 rgb_led_ws281x: bit: "0" 78428-78434 rgb_led_ws281x: bit: "0" 78434-78441 rgb_led_ws281x: bit: "0" +78293-78342 rgb_led_ws281x: g: "f7" +78342-78391 rgb_led_ws281x: r: "ef" +78391-78441 rgb_led_ws281x: b: "00" 78293-78441 rgb_led_ws281x: rgb: "#eff700" 78441-78447 rgb_led_ws281x: bit: "1" 78447-78453 rgb_led_ws281x: bit: "1" @@ -10423,6 +11671,9 @@ 78570-78576 rgb_led_ws281x: bit: "0" 78576-78583 rgb_led_ws281x: bit: "0" 78583-78589 rgb_led_ws281x: bit: "0" +78441-78490 rgb_led_ws281x: g: "f7" +78490-78539 rgb_led_ws281x: r: "df" +78539-78589 rgb_led_ws281x: b: "00" 78441-78589 rgb_led_ws281x: rgb: "#dff700" 78589-78595 rgb_led_ws281x: bit: "1" 78595-78601 rgb_led_ws281x: bit: "1" @@ -10448,6 +11699,9 @@ 78718-78725 rgb_led_ws281x: bit: "0" 78725-78731 rgb_led_ws281x: bit: "0" 78731-78737 rgb_led_ws281x: bit: "0" +78589-78638 rgb_led_ws281x: g: "ef" +78638-78688 rgb_led_ws281x: r: "bf" +78688-78737 rgb_led_ws281x: b: "00" 78589-78737 rgb_led_ws281x: rgb: "#bfef00" 78737-78743 rgb_led_ws281x: bit: "1" 78743-78750 rgb_led_ws281x: bit: "1" @@ -10473,6 +11727,9 @@ 78866-78873 rgb_led_ws281x: bit: "0" 78873-78879 rgb_led_ws281x: bit: "0" 78879-78885 rgb_led_ws281x: bit: "0" +78737-78787 rgb_led_ws281x: g: "df" +78787-78836 rgb_led_ws281x: r: "7e" +78836-78885 rgb_led_ws281x: b: "00" 78737-78885 rgb_led_ws281x: rgb: "#7edf00" 78885-78892 rgb_led_ws281x: bit: "1" 78892-78898 rgb_led_ws281x: bit: "0" @@ -10498,6 +11755,9 @@ 79015-79021 rgb_led_ws281x: bit: "0" 79021-79027 rgb_led_ws281x: bit: "0" 79027-79034 rgb_led_ws281x: bit: "0" +78885-78935 rgb_led_ws281x: g: "bf" +78935-78984 rgb_led_ws281x: r: "fd" +78984-79034 rgb_led_ws281x: b: "00" 78885-79034 rgb_led_ws281x: rgb: "#fdbf00" 79034-79040 rgb_led_ws281x: bit: "0" 79040-79046 rgb_led_ws281x: bit: "1" @@ -10523,6 +11783,9 @@ 79163-79169 rgb_led_ws281x: bit: "0" 79169-79175 rgb_led_ws281x: bit: "0" 79175-79182 rgb_led_ws281x: bit: "0" +79034-79083 rgb_led_ws281x: g: "7e" +79083-79132 rgb_led_ws281x: r: "fb" +79132-79182 rgb_led_ws281x: b: "00" 79034-79182 rgb_led_ws281x: rgb: "#fb7e00" 79182-79188 rgb_led_ws281x: bit: "1" 79188-79194 rgb_led_ws281x: bit: "1" @@ -10548,6 +11811,9 @@ 79311-79317 rgb_led_ws281x: bit: "0" 79317-79323 rgb_led_ws281x: bit: "0" 79323-79330 rgb_led_ws281x: bit: "0" +79182-79231 rgb_led_ws281x: g: "fd" +79231-79280 rgb_led_ws281x: r: "ff" +79280-79330 rgb_led_ws281x: b: "00" 79182-79330 rgb_led_ws281x: rgb: "#fffd00" 79330-79336 rgb_led_ws281x: bit: "1" 79336-79342 rgb_led_ws281x: bit: "1" @@ -10573,6 +11839,9 @@ 79459-79465 rgb_led_ws281x: bit: "0" 79465-79471 rgb_led_ws281x: bit: "0" 79471-79478 rgb_led_ws281x: bit: "0" +79330-79379 rgb_led_ws281x: g: "fb" +79379-79428 rgb_led_ws281x: r: "f7" +79428-79478 rgb_led_ws281x: b: "00" 79330-79478 rgb_led_ws281x: rgb: "#f7fb00" 79478-79484 rgb_led_ws281x: bit: "1" 79484-79490 rgb_led_ws281x: bit: "1" @@ -10598,6 +11867,9 @@ 79607-79613 rgb_led_ws281x: bit: "0" 79613-79619 rgb_led_ws281x: bit: "0" 79619-79626 rgb_led_ws281x: bit: "0" +79478-79527 rgb_led_ws281x: g: "f7" +79527-79576 rgb_led_ws281x: r: "ef" +79576-79626 rgb_led_ws281x: b: "00" 79478-79626 rgb_led_ws281x: rgb: "#eff700" 79626-79632 rgb_led_ws281x: bit: "1" 79632-79638 rgb_led_ws281x: bit: "1" @@ -10623,6 +11895,9 @@ 79755-79761 rgb_led_ws281x: bit: "0" 79761-79768 rgb_led_ws281x: bit: "0" 79768-79774 rgb_led_ws281x: bit: "0" +79626-79675 rgb_led_ws281x: g: "ef" +79675-79724 rgb_led_ws281x: r: "df" +79724-79774 rgb_led_ws281x: b: "00" 79626-79774 rgb_led_ws281x: rgb: "#dfef00" 79774-79780 rgb_led_ws281x: bit: "1" 79780-79786 rgb_led_ws281x: bit: "1" @@ -10648,6 +11923,9 @@ 79903-79910 rgb_led_ws281x: bit: "0" 79910-79916 rgb_led_ws281x: bit: "0" 79916-79922 rgb_led_ws281x: bit: "0" +79774-79823 rgb_led_ws281x: g: "ef" +79823-79873 rgb_led_ws281x: r: "bf" +79873-79922 rgb_led_ws281x: b: "00" 79774-79922 rgb_led_ws281x: rgb: "#bfef00" 79922-79928 rgb_led_ws281x: bit: "1" 79928-79935 rgb_led_ws281x: bit: "1" @@ -10673,6 +11951,9 @@ 80052-80058 rgb_led_ws281x: bit: "0" 80058-80064 rgb_led_ws281x: bit: "0" 80064-80070 rgb_led_ws281x: bit: "0" +79922-79972 rgb_led_ws281x: g: "df" +79972-80021 rgb_led_ws281x: r: "7e" +80021-80070 rgb_led_ws281x: b: "00" 79922-80070 rgb_led_ws281x: rgb: "#7edf00" 80070-80077 rgb_led_ws281x: bit: "1" 80077-80083 rgb_led_ws281x: bit: "0" @@ -10698,6 +11979,9 @@ 80200-80206 rgb_led_ws281x: bit: "0" 80206-80212 rgb_led_ws281x: bit: "0" 80212-80219 rgb_led_ws281x: bit: "0" +80070-80120 rgb_led_ws281x: g: "be" +80120-80169 rgb_led_ws281x: r: "fd" +80169-80219 rgb_led_ws281x: b: "00" 80070-80219 rgb_led_ws281x: rgb: "#fdbe00" 80219-80225 rgb_led_ws281x: bit: "0" 80225-80231 rgb_led_ws281x: bit: "1" @@ -10723,6 +12007,9 @@ 80348-80354 rgb_led_ws281x: bit: "0" 80354-80360 rgb_led_ws281x: bit: "0" 80360-80367 rgb_led_ws281x: bit: "0" +80219-80268 rgb_led_ws281x: g: "7e" +80268-80317 rgb_led_ws281x: r: "fb" +80317-80367 rgb_led_ws281x: b: "00" 80219-80367 rgb_led_ws281x: rgb: "#fb7e00" 80367-80373 rgb_led_ws281x: bit: "1" 80373-80379 rgb_led_ws281x: bit: "1" @@ -10748,6 +12035,9 @@ 80496-80502 rgb_led_ws281x: bit: "0" 80502-80508 rgb_led_ws281x: bit: "0" 80508-80515 rgb_led_ws281x: bit: "0" +80367-80416 rgb_led_ws281x: g: "fd" +80416-80465 rgb_led_ws281x: r: "f7" +80465-80515 rgb_led_ws281x: b: "00" 80367-80515 rgb_led_ws281x: rgb: "#f7fd00" 80515-80521 rgb_led_ws281x: bit: "1" 80521-80527 rgb_led_ws281x: bit: "1" @@ -10773,6 +12063,9 @@ 80644-80650 rgb_led_ws281x: bit: "0" 80650-80656 rgb_led_ws281x: bit: "0" 80656-80663 rgb_led_ws281x: bit: "0" +80515-80564 rgb_led_ws281x: g: "fb" +80564-80613 rgb_led_ws281x: r: "f7" +80613-80663 rgb_led_ws281x: b: "00" 80515-80663 rgb_led_ws281x: rgb: "#f7fb00" 80663-80669 rgb_led_ws281x: bit: "1" 80669-80675 rgb_led_ws281x: bit: "1" @@ -10798,6 +12091,9 @@ 80792-80798 rgb_led_ws281x: bit: "0" 80798-80804 rgb_led_ws281x: bit: "0" 80804-80811 rgb_led_ws281x: bit: "0" +80663-80712 rgb_led_ws281x: g: "f7" +80712-80761 rgb_led_ws281x: r: "ef" +80761-80811 rgb_led_ws281x: b: "00" 80663-80811 rgb_led_ws281x: rgb: "#eff700" 80811-80817 rgb_led_ws281x: bit: "1" 80817-80823 rgb_led_ws281x: bit: "1" @@ -10823,6 +12119,9 @@ 80940-80946 rgb_led_ws281x: bit: "0" 80946-80953 rgb_led_ws281x: bit: "0" 80953-80959 rgb_led_ws281x: bit: "0" +80811-80860 rgb_led_ws281x: g: "ef" +80860-80910 rgb_led_ws281x: r: "df" +80910-80959 rgb_led_ws281x: b: "00" 80811-80959 rgb_led_ws281x: rgb: "#dfef00" 80959-80965 rgb_led_ws281x: bit: "1" 80965-80971 rgb_led_ws281x: bit: "1" @@ -10848,6 +12147,9 @@ 81088-81095 rgb_led_ws281x: bit: "0" 81095-81101 rgb_led_ws281x: bit: "0" 81101-81107 rgb_led_ws281x: bit: "0" +80959-81008 rgb_led_ws281x: g: "ff" +81008-81058 rgb_led_ws281x: r: "bf" +81058-81107 rgb_led_ws281x: b: "00" 80959-81107 rgb_led_ws281x: rgb: "#bfff00" 81107-81113 rgb_led_ws281x: bit: "1" 81113-81120 rgb_led_ws281x: bit: "1" @@ -10873,6 +12175,9 @@ 81237-81243 rgb_led_ws281x: bit: "0" 81243-81249 rgb_led_ws281x: bit: "0" 81249-81255 rgb_led_ws281x: bit: "0" +81107-81157 rgb_led_ws281x: g: "df" +81157-81206 rgb_led_ws281x: r: "7e" +81206-81255 rgb_led_ws281x: b: "00" 81107-81255 rgb_led_ws281x: rgb: "#7edf00" 81255-81262 rgb_led_ws281x: bit: "1" 81262-81268 rgb_led_ws281x: bit: "0" @@ -10898,6 +12203,9 @@ 81385-81391 rgb_led_ws281x: bit: "0" 81391-81397 rgb_led_ws281x: bit: "0" 81397-81404 rgb_led_ws281x: bit: "0" +81255-81305 rgb_led_ws281x: g: "be" +81305-81354 rgb_led_ws281x: r: "fd" +81354-81404 rgb_led_ws281x: b: "00" 81255-81404 rgb_led_ws281x: rgb: "#fdbe00" 81404-81410 rgb_led_ws281x: bit: "0" 81410-81416 rgb_led_ws281x: bit: "1" @@ -10923,6 +12231,9 @@ 81533-81539 rgb_led_ws281x: bit: "0" 81539-81545 rgb_led_ws281x: bit: "0" 81545-81552 rgb_led_ws281x: bit: "0" +81404-81453 rgb_led_ws281x: g: "7d" +81453-81502 rgb_led_ws281x: r: "fb" +81502-81552 rgb_led_ws281x: b: "00" 81404-81552 rgb_led_ws281x: rgb: "#fb7d00" 81552-81558 rgb_led_ws281x: bit: "1" 81558-81564 rgb_led_ws281x: bit: "1" @@ -10948,6 +12259,9 @@ 81681-81687 rgb_led_ws281x: bit: "0" 81687-81693 rgb_led_ws281x: bit: "0" 81693-81700 rgb_led_ws281x: bit: "0" +81552-81601 rgb_led_ws281x: g: "fd" +81601-81650 rgb_led_ws281x: r: "f7" +81650-81700 rgb_led_ws281x: b: "00" 81552-81700 rgb_led_ws281x: rgb: "#f7fd00" 81700-81706 rgb_led_ws281x: bit: "1" 81706-81712 rgb_led_ws281x: bit: "1" @@ -10973,6 +12287,9 @@ 81829-81835 rgb_led_ws281x: bit: "0" 81835-81841 rgb_led_ws281x: bit: "0" 81841-81848 rgb_led_ws281x: bit: "0" +81700-81749 rgb_led_ws281x: g: "fb" +81749-81798 rgb_led_ws281x: r: "ef" +81798-81848 rgb_led_ws281x: b: "00" 81700-81848 rgb_led_ws281x: rgb: "#effb00" 81848-81854 rgb_led_ws281x: bit: "1" 81854-81860 rgb_led_ws281x: bit: "1" @@ -10998,6 +12315,9 @@ 81977-81983 rgb_led_ws281x: bit: "0" 81983-81989 rgb_led_ws281x: bit: "0" 81989-81996 rgb_led_ws281x: bit: "0" +81848-81897 rgb_led_ws281x: g: "f7" +81897-81946 rgb_led_ws281x: r: "ef" +81946-81996 rgb_led_ws281x: b: "00" 81848-81996 rgb_led_ws281x: rgb: "#eff700" 81996-82002 rgb_led_ws281x: bit: "1" 82002-82008 rgb_led_ws281x: bit: "1" @@ -11023,6 +12343,9 @@ 82125-82131 rgb_led_ws281x: bit: "0" 82131-82138 rgb_led_ws281x: bit: "0" 82138-82144 rgb_led_ws281x: bit: "0" +81996-82045 rgb_led_ws281x: g: "ef" +82045-82095 rgb_led_ws281x: r: "df" +82095-82144 rgb_led_ws281x: b: "00" 81996-82144 rgb_led_ws281x: rgb: "#dfef00" 82144-82150 rgb_led_ws281x: bit: "1" 82150-82157 rgb_led_ws281x: bit: "1" @@ -11048,6 +12371,9 @@ 82273-82280 rgb_led_ws281x: bit: "0" 82280-82286 rgb_led_ws281x: bit: "0" 82286-82292 rgb_led_ws281x: bit: "0" +82144-82193 rgb_led_ws281x: g: "df" +82193-82243 rgb_led_ws281x: r: "be" +82243-82292 rgb_led_ws281x: b: "00" 82144-82292 rgb_led_ws281x: rgb: "#bedf00" 82292-82298 rgb_led_ws281x: bit: "1" 82298-82305 rgb_led_ws281x: bit: "1" @@ -11073,6 +12399,9 @@ 82422-82428 rgb_led_ws281x: bit: "0" 82428-82434 rgb_led_ws281x: bit: "0" 82434-82440 rgb_led_ws281x: bit: "0" +82292-82342 rgb_led_ws281x: g: "df" +82342-82391 rgb_led_ws281x: r: "7e" +82391-82440 rgb_led_ws281x: b: "00" 82292-82440 rgb_led_ws281x: rgb: "#7edf00" 82440-82447 rgb_led_ws281x: bit: "1" 82447-82453 rgb_led_ws281x: bit: "0" @@ -11098,6 +12427,9 @@ 82570-82576 rgb_led_ws281x: bit: "0" 82576-82582 rgb_led_ws281x: bit: "0" 82582-82589 rgb_led_ws281x: bit: "0" +82440-82490 rgb_led_ws281x: g: "be" +82490-82539 rgb_led_ws281x: r: "fd" +82539-82589 rgb_led_ws281x: b: "00" 82440-82589 rgb_led_ws281x: rgb: "#fdbe00" 82589-82595 rgb_led_ws281x: bit: "0" 82595-82601 rgb_led_ws281x: bit: "1" @@ -11123,6 +12455,9 @@ 82718-82724 rgb_led_ws281x: bit: "0" 82724-82730 rgb_led_ws281x: bit: "0" 82730-82737 rgb_led_ws281x: bit: "0" +82589-82638 rgb_led_ws281x: g: "7d" +82638-82687 rgb_led_ws281x: r: "fb" +82687-82737 rgb_led_ws281x: b: "00" 82589-82737 rgb_led_ws281x: rgb: "#fb7d00" 82737-82743 rgb_led_ws281x: bit: "1" 82743-82749 rgb_led_ws281x: bit: "1" @@ -11148,6 +12483,9 @@ 82866-82872 rgb_led_ws281x: bit: "0" 82872-82878 rgb_led_ws281x: bit: "0" 82878-82885 rgb_led_ws281x: bit: "0" +82737-82786 rgb_led_ws281x: g: "ff" +82786-82835 rgb_led_ws281x: r: "f7" +82835-82885 rgb_led_ws281x: b: "00" 82737-82885 rgb_led_ws281x: rgb: "#f7ff00" 82885-82891 rgb_led_ws281x: bit: "1" 82891-82897 rgb_led_ws281x: bit: "1" @@ -11173,6 +12511,9 @@ 83014-83020 rgb_led_ws281x: bit: "0" 83020-83026 rgb_led_ws281x: bit: "0" 83026-83033 rgb_led_ws281x: bit: "0" +82885-82934 rgb_led_ws281x: g: "fb" +82934-82983 rgb_led_ws281x: r: "ef" +82983-83033 rgb_led_ws281x: b: "00" 82885-83033 rgb_led_ws281x: rgb: "#effb00" 83033-83039 rgb_led_ws281x: bit: "1" 83039-83045 rgb_led_ws281x: bit: "1" @@ -11198,6 +12539,9 @@ 83162-83168 rgb_led_ws281x: bit: "0" 83168-83174 rgb_led_ws281x: bit: "0" 83174-83181 rgb_led_ws281x: bit: "0" +83033-83082 rgb_led_ws281x: g: "f7" +83082-83131 rgb_led_ws281x: r: "ff" +83131-83181 rgb_led_ws281x: b: "00" 83033-83181 rgb_led_ws281x: rgb: "#fff700" 83181-83187 rgb_led_ws281x: bit: "1" 83187-83193 rgb_led_ws281x: bit: "1" @@ -11223,6 +12567,9 @@ 83310-83316 rgb_led_ws281x: bit: "0" 83316-83323 rgb_led_ws281x: bit: "0" 83323-83329 rgb_led_ws281x: bit: "0" +83181-83230 rgb_led_ws281x: g: "ef" +83230-83280 rgb_led_ws281x: r: "df" +83280-83329 rgb_led_ws281x: b: "00" 83181-83329 rgb_led_ws281x: rgb: "#dfef00" 83329-83335 rgb_led_ws281x: bit: "1" 83335-83342 rgb_led_ws281x: bit: "1" @@ -11248,6 +12595,9 @@ 83458-83465 rgb_led_ws281x: bit: "0" 83465-83471 rgb_led_ws281x: bit: "0" 83471-83477 rgb_led_ws281x: bit: "0" +83329-83378 rgb_led_ws281x: g: "df" +83378-83428 rgb_led_ws281x: r: "be" +83428-83477 rgb_led_ws281x: b: "00" 83329-83477 rgb_led_ws281x: rgb: "#bedf00" 83477-83484 rgb_led_ws281x: bit: "1" 83484-83490 rgb_led_ws281x: bit: "0" @@ -11273,6 +12623,9 @@ 83607-83613 rgb_led_ws281x: bit: "0" 83613-83619 rgb_led_ws281x: bit: "0" 83619-83625 rgb_led_ws281x: bit: "0" +83477-83527 rgb_led_ws281x: g: "bf" +83527-83576 rgb_led_ws281x: r: "7f" +83576-83625 rgb_led_ws281x: b: "00" 83477-83625 rgb_led_ws281x: rgb: "#7fbf00" 83625-83632 rgb_led_ws281x: bit: "1" 83632-83638 rgb_led_ws281x: bit: "0" @@ -11298,6 +12651,9 @@ 83755-83761 rgb_led_ws281x: bit: "0" 83761-83767 rgb_led_ws281x: bit: "0" 83767-83774 rgb_led_ws281x: bit: "0" +83625-83675 rgb_led_ws281x: g: "be" +83675-83724 rgb_led_ws281x: r: "fd" +83724-83774 rgb_led_ws281x: b: "00" 83625-83774 rgb_led_ws281x: rgb: "#fdbe00" 83774-83780 rgb_led_ws281x: bit: "0" 83780-83786 rgb_led_ws281x: bit: "1" @@ -11323,6 +12679,9 @@ 83903-83909 rgb_led_ws281x: bit: "0" 83909-83915 rgb_led_ws281x: bit: "0" 83915-83922 rgb_led_ws281x: bit: "0" +83774-83823 rgb_led_ws281x: g: "7d" +83823-83872 rgb_led_ws281x: r: "fb" +83872-83922 rgb_led_ws281x: b: "00" 83774-83922 rgb_led_ws281x: rgb: "#fb7d00" 83922-83928 rgb_led_ws281x: bit: "1" 83928-83934 rgb_led_ws281x: bit: "1" @@ -11348,6 +12707,9 @@ 84051-84057 rgb_led_ws281x: bit: "0" 84057-84063 rgb_led_ws281x: bit: "0" 84063-84070 rgb_led_ws281x: bit: "0" +83922-83971 rgb_led_ws281x: g: "ff" +83971-84020 rgb_led_ws281x: r: "f7" +84020-84070 rgb_led_ws281x: b: "00" 83922-84070 rgb_led_ws281x: rgb: "#f7ff00" 84070-84076 rgb_led_ws281x: bit: "1" 84076-84082 rgb_led_ws281x: bit: "1" @@ -11373,6 +12735,9 @@ 84199-84205 rgb_led_ws281x: bit: "0" 84205-84211 rgb_led_ws281x: bit: "0" 84211-84218 rgb_led_ws281x: bit: "0" +84070-84119 rgb_led_ws281x: g: "fb" +84119-84168 rgb_led_ws281x: r: "ef" +84168-84218 rgb_led_ws281x: b: "00" 84070-84218 rgb_led_ws281x: rgb: "#effb00" 84218-84224 rgb_led_ws281x: bit: "1" 84224-84230 rgb_led_ws281x: bit: "1" @@ -11398,6 +12763,9 @@ 84347-84353 rgb_led_ws281x: bit: "0" 84353-84360 rgb_led_ws281x: bit: "0" 84360-84366 rgb_led_ws281x: bit: "0" +84218-84267 rgb_led_ws281x: g: "f7" +84267-84316 rgb_led_ws281x: r: "df" +84316-84366 rgb_led_ws281x: b: "00" 84218-84366 rgb_led_ws281x: rgb: "#dff700" 84366-84372 rgb_led_ws281x: bit: "1" 84372-84378 rgb_led_ws281x: bit: "1" @@ -11423,6 +12791,9 @@ 84495-84501 rgb_led_ws281x: bit: "0" 84501-84508 rgb_led_ws281x: bit: "0" 84508-84514 rgb_led_ws281x: bit: "0" +84366-84415 rgb_led_ws281x: g: "ef" +84415-84465 rgb_led_ws281x: r: "df" +84465-84514 rgb_led_ws281x: b: "00" 84366-84514 rgb_led_ws281x: rgb: "#dfef00" 84514-84520 rgb_led_ws281x: bit: "1" 84520-84527 rgb_led_ws281x: bit: "1" @@ -11448,6 +12819,9 @@ 84643-84650 rgb_led_ws281x: bit: "0" 84650-84656 rgb_led_ws281x: bit: "0" 84656-84662 rgb_led_ws281x: bit: "0" +84514-84563 rgb_led_ws281x: g: "df" +84563-84613 rgb_led_ws281x: r: "be" +84613-84662 rgb_led_ws281x: b: "00" 84514-84662 rgb_led_ws281x: rgb: "#bedf00" 84662-84669 rgb_led_ws281x: bit: "1" 84669-84675 rgb_led_ws281x: bit: "0" @@ -11473,6 +12847,9 @@ 84792-84798 rgb_led_ws281x: bit: "0" 84798-84804 rgb_led_ws281x: bit: "0" 84804-84810 rgb_led_ws281x: bit: "0" +84662-84712 rgb_led_ws281x: g: "bf" +84712-84761 rgb_led_ws281x: r: "7d" +84761-84810 rgb_led_ws281x: b: "00" 84662-84810 rgb_led_ws281x: rgb: "#7dbf00" 84810-84817 rgb_led_ws281x: bit: "1" 84817-84823 rgb_led_ws281x: bit: "0" @@ -11498,6 +12875,9 @@ 84940-84946 rgb_led_ws281x: bit: "0" 84946-84952 rgb_led_ws281x: bit: "0" 84952-84959 rgb_led_ws281x: bit: "0" +84810-84860 rgb_led_ws281x: g: "be" +84860-84909 rgb_led_ws281x: r: "ff" +84909-84959 rgb_led_ws281x: b: "00" 84810-84959 rgb_led_ws281x: rgb: "#ffbe00" 84959-84965 rgb_led_ws281x: bit: "0" 84965-84971 rgb_led_ws281x: bit: "1" @@ -11523,6 +12903,9 @@ 85088-85094 rgb_led_ws281x: bit: "0" 85094-85100 rgb_led_ws281x: bit: "0" 85100-85107 rgb_led_ws281x: bit: "0" +84959-85008 rgb_led_ws281x: g: "7d" +85008-85057 rgb_led_ws281x: r: "fb" +85057-85107 rgb_led_ws281x: b: "00" 84959-85107 rgb_led_ws281x: rgb: "#fb7d00" 85107-85113 rgb_led_ws281x: bit: "1" 85113-85119 rgb_led_ws281x: bit: "1" @@ -11548,6 +12931,9 @@ 85236-85242 rgb_led_ws281x: bit: "0" 85242-85248 rgb_led_ws281x: bit: "0" 85248-85255 rgb_led_ws281x: bit: "0" +85107-85156 rgb_led_ws281x: g: "ff" +85156-85205 rgb_led_ws281x: r: "f7" +85205-85255 rgb_led_ws281x: b: "00" 85107-85255 rgb_led_ws281x: rgb: "#f7ff00" 85255-85261 rgb_led_ws281x: bit: "1" 85261-85267 rgb_led_ws281x: bit: "1" @@ -11573,6 +12959,9 @@ 85384-85390 rgb_led_ws281x: bit: "0" 85390-85396 rgb_led_ws281x: bit: "0" 85396-85403 rgb_led_ws281x: bit: "0" +85255-85304 rgb_led_ws281x: g: "ff" +85304-85353 rgb_led_ws281x: r: "ef" +85353-85403 rgb_led_ws281x: b: "00" 85255-85403 rgb_led_ws281x: rgb: "#efff00" 85403-85409 rgb_led_ws281x: bit: "1" 85409-85415 rgb_led_ws281x: bit: "1" @@ -11598,6 +12987,9 @@ 85532-85538 rgb_led_ws281x: bit: "0" 85538-85545 rgb_led_ws281x: bit: "0" 85545-85551 rgb_led_ws281x: bit: "0" +85403-85452 rgb_led_ws281x: g: "f7" +85452-85501 rgb_led_ws281x: r: "df" +85501-85551 rgb_led_ws281x: b: "00" 85403-85551 rgb_led_ws281x: rgb: "#dff700" 85551-85557 rgb_led_ws281x: bit: "1" 85557-85563 rgb_led_ws281x: bit: "1" @@ -11623,6 +13015,9 @@ 85680-85687 rgb_led_ws281x: bit: "0" 85687-85693 rgb_led_ws281x: bit: "0" 85693-85699 rgb_led_ws281x: bit: "0" +85551-85600 rgb_led_ws281x: g: "ef" +85600-85650 rgb_led_ws281x: r: "ff" +85650-85699 rgb_led_ws281x: b: "00" 85551-85699 rgb_led_ws281x: rgb: "#ffef00" 85699-85705 rgb_led_ws281x: bit: "1" 85705-85712 rgb_led_ws281x: bit: "1" @@ -11648,6 +13043,9 @@ 85828-85835 rgb_led_ws281x: bit: "0" 85835-85841 rgb_led_ws281x: bit: "0" 85841-85847 rgb_led_ws281x: bit: "0" +85699-85748 rgb_led_ws281x: g: "df" +85748-85798 rgb_led_ws281x: r: "be" +85798-85847 rgb_led_ws281x: b: "00" 85699-85847 rgb_led_ws281x: rgb: "#bedf00" 85847-85854 rgb_led_ws281x: bit: "1" 85854-85860 rgb_led_ws281x: bit: "0" @@ -11673,6 +13071,9 @@ 85977-85983 rgb_led_ws281x: bit: "0" 85983-85989 rgb_led_ws281x: bit: "0" 85989-85995 rgb_led_ws281x: bit: "0" +85847-85897 rgb_led_ws281x: g: "bf" +85897-85946 rgb_led_ws281x: r: "7d" +85946-85995 rgb_led_ws281x: b: "00" 85847-85995 rgb_led_ws281x: rgb: "#7dbf00" 85995-86002 rgb_led_ws281x: bit: "1" 86002-86008 rgb_led_ws281x: bit: "1" @@ -11698,6 +13099,9 @@ 86125-86131 rgb_led_ws281x: bit: "0" 86131-86137 rgb_led_ws281x: bit: "0" 86137-86144 rgb_led_ws281x: bit: "0" +85995-86045 rgb_led_ws281x: g: "fe" +86045-86094 rgb_led_ws281x: r: "ff" +86094-86144 rgb_led_ws281x: b: "00" 85995-86144 rgb_led_ws281x: rgb: "#fffe00" 86144-86150 rgb_led_ws281x: bit: "0" 86150-86156 rgb_led_ws281x: bit: "1" @@ -11723,6 +13127,9 @@ 86273-86279 rgb_led_ws281x: bit: "0" 86279-86285 rgb_led_ws281x: bit: "0" 86285-86292 rgb_led_ws281x: bit: "0" +86144-86193 rgb_led_ws281x: g: "7d" +86193-86242 rgb_led_ws281x: r: "fb" +86242-86292 rgb_led_ws281x: b: "00" 86144-86292 rgb_led_ws281x: rgb: "#fb7d00" 86292-86298 rgb_led_ws281x: bit: "1" 86298-86304 rgb_led_ws281x: bit: "1" @@ -11748,6 +13155,9 @@ 86421-86427 rgb_led_ws281x: bit: "0" 86427-86433 rgb_led_ws281x: bit: "0" 86433-86440 rgb_led_ws281x: bit: "0" +86292-86341 rgb_led_ws281x: g: "fb" +86341-86390 rgb_led_ws281x: r: "f7" +86390-86440 rgb_led_ws281x: b: "00" 86292-86440 rgb_led_ws281x: rgb: "#f7fb00" 86440-86446 rgb_led_ws281x: bit: "1" 86446-86452 rgb_led_ws281x: bit: "1" @@ -11773,6 +13183,9 @@ 86569-86575 rgb_led_ws281x: bit: "0" 86575-86581 rgb_led_ws281x: bit: "0" 86581-86588 rgb_led_ws281x: bit: "0" +86440-86489 rgb_led_ws281x: g: "ff" +86489-86538 rgb_led_ws281x: r: "ef" +86538-86588 rgb_led_ws281x: b: "00" 86440-86588 rgb_led_ws281x: rgb: "#efff00" 86588-86594 rgb_led_ws281x: bit: "1" 86594-86600 rgb_led_ws281x: bit: "1" @@ -11798,6 +13211,9 @@ 86717-86723 rgb_led_ws281x: bit: "0" 86723-86730 rgb_led_ws281x: bit: "0" 86730-86736 rgb_led_ws281x: bit: "0" +86588-86637 rgb_led_ws281x: g: "f7" +86637-86686 rgb_led_ws281x: r: "df" +86686-86736 rgb_led_ws281x: b: "00" 86588-86736 rgb_led_ws281x: rgb: "#dff700" 86736-86742 rgb_led_ws281x: bit: "1" 86742-86748 rgb_led_ws281x: bit: "1" @@ -11823,6 +13239,9 @@ 86865-86872 rgb_led_ws281x: bit: "0" 86872-86878 rgb_led_ws281x: bit: "0" 86878-86884 rgb_led_ws281x: bit: "0" +86736-86785 rgb_led_ws281x: g: "ef" +86785-86835 rgb_led_ws281x: r: "bf" +86835-86884 rgb_led_ws281x: b: "00" 86736-86884 rgb_led_ws281x: rgb: "#bfef00" 86884-86890 rgb_led_ws281x: bit: "1" 86890-86897 rgb_led_ws281x: bit: "1" @@ -11848,6 +13267,9 @@ 87013-87020 rgb_led_ws281x: bit: "0" 87020-87026 rgb_led_ws281x: bit: "0" 87026-87032 rgb_led_ws281x: bit: "0" +86884-86933 rgb_led_ws281x: g: "df" +86933-86983 rgb_led_ws281x: r: "be" +86983-87032 rgb_led_ws281x: b: "00" 86884-87032 rgb_led_ws281x: rgb: "#bedf00" 87032-87039 rgb_led_ws281x: bit: "1" 87039-87045 rgb_led_ws281x: bit: "0" @@ -11873,6 +13295,9 @@ 87162-87168 rgb_led_ws281x: bit: "0" 87168-87174 rgb_led_ws281x: bit: "0" 87174-87181 rgb_led_ws281x: bit: "0" +87032-87082 rgb_led_ws281x: g: "bf" +87082-87131 rgb_led_ws281x: r: "7d" +87131-87181 rgb_led_ws281x: b: "00" 87032-87181 rgb_led_ws281x: rgb: "#7dbf00" 87181-87187 rgb_led_ws281x: bit: "0" 87187-87193 rgb_led_ws281x: bit: "1" @@ -11898,6 +13323,9 @@ 87310-87316 rgb_led_ws281x: bit: "0" 87316-87322 rgb_led_ws281x: bit: "0" 87322-87329 rgb_led_ws281x: bit: "0" +87181-87230 rgb_led_ws281x: g: "7e" +87230-87279 rgb_led_ws281x: r: "ff" +87279-87329 rgb_led_ws281x: b: "00" 87181-87329 rgb_led_ws281x: rgb: "#ff7e00" 87329-87335 rgb_led_ws281x: bit: "1" 87335-87341 rgb_led_ws281x: bit: "1" @@ -11923,6 +13351,9 @@ 87458-87464 rgb_led_ws281x: bit: "0" 87464-87470 rgb_led_ws281x: bit: "0" 87470-87477 rgb_led_ws281x: bit: "0" +87329-87378 rgb_led_ws281x: g: "fd" +87378-87427 rgb_led_ws281x: r: "ff" +87427-87477 rgb_led_ws281x: b: "00" 87329-87477 rgb_led_ws281x: rgb: "#fffd00" 87477-87483 rgb_led_ws281x: bit: "1" 87483-87489 rgb_led_ws281x: bit: "1" @@ -11948,6 +13379,9 @@ 87606-87612 rgb_led_ws281x: bit: "0" 87612-87618 rgb_led_ws281x: bit: "0" 87618-87625 rgb_led_ws281x: bit: "0" +87477-87526 rgb_led_ws281x: g: "fb" +87526-87575 rgb_led_ws281x: r: "f7" +87575-87625 rgb_led_ws281x: b: "00" 87477-87625 rgb_led_ws281x: rgb: "#f7fb00" 87625-87631 rgb_led_ws281x: bit: "1" 87631-87637 rgb_led_ws281x: bit: "1" @@ -11973,6 +13407,9 @@ 87754-87760 rgb_led_ws281x: bit: "0" 87760-87766 rgb_led_ws281x: bit: "0" 87766-87773 rgb_led_ws281x: bit: "0" +87625-87674 rgb_led_ws281x: g: "f7" +87674-87723 rgb_led_ws281x: r: "ef" +87723-87773 rgb_led_ws281x: b: "00" 87625-87773 rgb_led_ws281x: rgb: "#eff700" 87773-87779 rgb_led_ws281x: bit: "1" 87779-87785 rgb_led_ws281x: bit: "1" @@ -11998,6 +13435,9 @@ 87902-87908 rgb_led_ws281x: bit: "0" 87908-87915 rgb_led_ws281x: bit: "0" 87915-87921 rgb_led_ws281x: bit: "0" +87773-87822 rgb_led_ws281x: g: "f7" +87822-87871 rgb_led_ws281x: r: "df" +87871-87921 rgb_led_ws281x: b: "00" 87773-87921 rgb_led_ws281x: rgb: "#dff700" 87921-87927 rgb_led_ws281x: bit: "1" 87927-87933 rgb_led_ws281x: bit: "1" @@ -12023,6 +13463,9 @@ 88050-88057 rgb_led_ws281x: bit: "0" 88057-88063 rgb_led_ws281x: bit: "0" 88063-88069 rgb_led_ws281x: bit: "0" +87921-87970 rgb_led_ws281x: g: "ef" +87970-88020 rgb_led_ws281x: r: "bf" +88020-88069 rgb_led_ws281x: b: "00" 87921-88069 rgb_led_ws281x: rgb: "#bfef00" 88069-88075 rgb_led_ws281x: bit: "1" 88075-88082 rgb_led_ws281x: bit: "1" @@ -12048,6 +13491,9 @@ 88198-88205 rgb_led_ws281x: bit: "0" 88205-88211 rgb_led_ws281x: bit: "0" 88211-88217 rgb_led_ws281x: bit: "0" +88069-88119 rgb_led_ws281x: g: "df" +88119-88168 rgb_led_ws281x: r: "7e" +88168-88217 rgb_led_ws281x: b: "00" 88069-88217 rgb_led_ws281x: rgb: "#7edf00" 88217-88224 rgb_led_ws281x: bit: "1" 88224-88230 rgb_led_ws281x: bit: "0" @@ -12073,6 +13519,9 @@ 88347-88353 rgb_led_ws281x: bit: "0" 88353-88359 rgb_led_ws281x: bit: "0" 88359-88366 rgb_led_ws281x: bit: "0" +88217-88267 rgb_led_ws281x: g: "bf" +88267-88316 rgb_led_ws281x: r: "7d" +88316-88366 rgb_led_ws281x: b: "00" 88217-88366 rgb_led_ws281x: rgb: "#7dbf00" 88366-88372 rgb_led_ws281x: bit: "0" 88372-88378 rgb_led_ws281x: bit: "1" @@ -12098,6 +13547,9 @@ 88495-88501 rgb_led_ws281x: bit: "0" 88501-88507 rgb_led_ws281x: bit: "0" 88507-88514 rgb_led_ws281x: bit: "0" +88366-88415 rgb_led_ws281x: g: "7e" +88415-88464 rgb_led_ws281x: r: "fb" +88464-88514 rgb_led_ws281x: b: "00" 88366-88514 rgb_led_ws281x: rgb: "#fb7e00" 88514-88520 rgb_led_ws281x: bit: "1" 88520-88526 rgb_led_ws281x: bit: "1" @@ -12123,6 +13575,9 @@ 88643-88649 rgb_led_ws281x: bit: "0" 88649-88655 rgb_led_ws281x: bit: "0" 88655-88662 rgb_led_ws281x: bit: "0" +88514-88563 rgb_led_ws281x: g: "fd" +88563-88612 rgb_led_ws281x: r: "ff" +88612-88662 rgb_led_ws281x: b: "00" 88514-88662 rgb_led_ws281x: rgb: "#fffd00" 88662-88668 rgb_led_ws281x: bit: "1" 88668-88674 rgb_led_ws281x: bit: "1" @@ -12148,6 +13603,9 @@ 88791-88797 rgb_led_ws281x: bit: "0" 88797-88803 rgb_led_ws281x: bit: "0" 88803-88810 rgb_led_ws281x: bit: "0" +88662-88711 rgb_led_ws281x: g: "fb" +88711-88760 rgb_led_ws281x: r: "f7" +88760-88810 rgb_led_ws281x: b: "00" 88662-88810 rgb_led_ws281x: rgb: "#f7fb00" 88810-88816 rgb_led_ws281x: bit: "1" 88816-88822 rgb_led_ws281x: bit: "1" @@ -12173,6 +13631,9 @@ 88939-88945 rgb_led_ws281x: bit: "0" 88945-88951 rgb_led_ws281x: bit: "0" 88951-88958 rgb_led_ws281x: bit: "0" +88810-88859 rgb_led_ws281x: g: "f7" +88859-88908 rgb_led_ws281x: r: "ef" +88908-88958 rgb_led_ws281x: b: "00" 88810-88958 rgb_led_ws281x: rgb: "#eff700" 88958-88964 rgb_led_ws281x: bit: "1" 88964-88970 rgb_led_ws281x: bit: "1" @@ -12198,6 +13659,9 @@ 89087-89093 rgb_led_ws281x: bit: "0" 89093-89100 rgb_led_ws281x: bit: "0" 89100-89106 rgb_led_ws281x: bit: "0" +88958-89007 rgb_led_ws281x: g: "ff" +89007-89056 rgb_led_ws281x: r: "df" +89056-89106 rgb_led_ws281x: b: "00" 88958-89106 rgb_led_ws281x: rgb: "#dfff00" 89106-89112 rgb_led_ws281x: bit: "1" 89112-89118 rgb_led_ws281x: bit: "1" @@ -12223,6 +13687,9 @@ 89235-89242 rgb_led_ws281x: bit: "0" 89242-89248 rgb_led_ws281x: bit: "0" 89248-89254 rgb_led_ws281x: bit: "0" +89106-89155 rgb_led_ws281x: g: "ef" +89155-89205 rgb_led_ws281x: r: "bf" +89205-89254 rgb_led_ws281x: b: "00" 89106-89254 rgb_led_ws281x: rgb: "#bfef00" 89254-89260 rgb_led_ws281x: bit: "1" 89260-89267 rgb_led_ws281x: bit: "1" @@ -12248,6 +13715,9 @@ 89384-89390 rgb_led_ws281x: bit: "0" 89390-89396 rgb_led_ws281x: bit: "0" 89396-89402 rgb_led_ws281x: bit: "0" +89254-89304 rgb_led_ws281x: g: "df" +89304-89353 rgb_led_ws281x: r: "7e" +89353-89402 rgb_led_ws281x: b: "00" 89254-89402 rgb_led_ws281x: rgb: "#7edf00" 89402-89409 rgb_led_ws281x: bit: "1" 89409-89415 rgb_led_ws281x: bit: "0" @@ -12273,6 +13743,9 @@ 89532-89538 rgb_led_ws281x: bit: "0" 89538-89544 rgb_led_ws281x: bit: "0" 89544-89551 rgb_led_ws281x: bit: "0" +89402-89452 rgb_led_ws281x: g: "be" +89452-89501 rgb_led_ws281x: r: "fd" +89501-89551 rgb_led_ws281x: b: "00" 89402-89551 rgb_led_ws281x: rgb: "#fdbe00" 89551-89557 rgb_led_ws281x: bit: "0" 89557-89563 rgb_led_ws281x: bit: "1" @@ -12298,6 +13771,9 @@ 89680-89686 rgb_led_ws281x: bit: "0" 89686-89692 rgb_led_ws281x: bit: "0" 89692-89699 rgb_led_ws281x: bit: "0" +89551-89600 rgb_led_ws281x: g: "7e" +89600-89649 rgb_led_ws281x: r: "fb" +89649-89699 rgb_led_ws281x: b: "00" 89551-89699 rgb_led_ws281x: rgb: "#fb7e00" 89699-89705 rgb_led_ws281x: bit: "1" 89705-89711 rgb_led_ws281x: bit: "1" @@ -12323,6 +13799,9 @@ 89828-89834 rgb_led_ws281x: bit: "0" 89834-89840 rgb_led_ws281x: bit: "0" 89840-89847 rgb_led_ws281x: bit: "0" +89699-89748 rgb_led_ws281x: g: "fd" +89748-89797 rgb_led_ws281x: r: "f7" +89797-89847 rgb_led_ws281x: b: "00" 89699-89847 rgb_led_ws281x: rgb: "#f7fd00" 89847-89853 rgb_led_ws281x: bit: "1" 89853-89859 rgb_led_ws281x: bit: "1" @@ -12348,6 +13827,9 @@ 89976-89982 rgb_led_ws281x: bit: "0" 89982-89988 rgb_led_ws281x: bit: "0" 89988-89995 rgb_led_ws281x: bit: "0" +89847-89896 rgb_led_ws281x: g: "fb" +89896-89945 rgb_led_ws281x: r: "f7" +89945-89995 rgb_led_ws281x: b: "00" 89847-89995 rgb_led_ws281x: rgb: "#f7fb00" 89995-90001 rgb_led_ws281x: bit: "1" 90001-90007 rgb_led_ws281x: bit: "1" @@ -12373,6 +13855,9 @@ 90124-90130 rgb_led_ws281x: bit: "0" 90130-90136 rgb_led_ws281x: bit: "0" 90136-90143 rgb_led_ws281x: bit: "0" +89995-90044 rgb_led_ws281x: g: "f7" +90044-90093 rgb_led_ws281x: r: "ef" +90093-90143 rgb_led_ws281x: b: "00" 89995-90143 rgb_led_ws281x: rgb: "#eff700" 90143-90149 rgb_led_ws281x: bit: "1" 90149-90155 rgb_led_ws281x: bit: "1" @@ -12398,6 +13883,9 @@ 90272-90278 rgb_led_ws281x: bit: "0" 90278-90285 rgb_led_ws281x: bit: "0" 90285-90291 rgb_led_ws281x: bit: "0" +90143-90192 rgb_led_ws281x: g: "ef" +90192-90242 rgb_led_ws281x: r: "df" +90242-90291 rgb_led_ws281x: b: "00" 90143-90291 rgb_led_ws281x: rgb: "#dfef00" 90291-90297 rgb_led_ws281x: bit: "1" 90297-90303 rgb_led_ws281x: bit: "1" @@ -12423,6 +13911,9 @@ 90420-90427 rgb_led_ws281x: bit: "0" 90427-90433 rgb_led_ws281x: bit: "0" 90433-90439 rgb_led_ws281x: bit: "0" +90291-90340 rgb_led_ws281x: g: "ef" +90340-90390 rgb_led_ws281x: r: "bf" +90390-90439 rgb_led_ws281x: b: "00" 90291-90439 rgb_led_ws281x: rgb: "#bfef00" 90439-90445 rgb_led_ws281x: bit: "1" 90445-90452 rgb_led_ws281x: bit: "1" @@ -12448,6 +13939,9 @@ 90569-90575 rgb_led_ws281x: bit: "0" 90575-90581 rgb_led_ws281x: bit: "0" 90581-90587 rgb_led_ws281x: bit: "0" +90439-90489 rgb_led_ws281x: g: "df" +90489-90538 rgb_led_ws281x: r: "7e" +90538-90587 rgb_led_ws281x: b: "00" 90439-90587 rgb_led_ws281x: rgb: "#7edf00" 90587-90594 rgb_led_ws281x: bit: "1" 90594-90600 rgb_led_ws281x: bit: "0" @@ -12473,6 +13967,9 @@ 90717-90723 rgb_led_ws281x: bit: "0" 90723-90729 rgb_led_ws281x: bit: "0" 90729-90736 rgb_led_ws281x: bit: "0" +90587-90637 rgb_led_ws281x: g: "be" +90637-90686 rgb_led_ws281x: r: "fd" +90686-90736 rgb_led_ws281x: b: "00" 90587-90736 rgb_led_ws281x: rgb: "#fdbe00" 90736-90742 rgb_led_ws281x: bit: "0" 90742-90748 rgb_led_ws281x: bit: "1" @@ -12498,6 +13995,9 @@ 90865-90871 rgb_led_ws281x: bit: "0" 90871-90877 rgb_led_ws281x: bit: "0" 90877-90884 rgb_led_ws281x: bit: "0" +90736-90785 rgb_led_ws281x: g: "7f" +90785-90834 rgb_led_ws281x: r: "fb" +90834-90884 rgb_led_ws281x: b: "00" 90736-90884 rgb_led_ws281x: rgb: "#fb7f00" 90884-90890 rgb_led_ws281x: bit: "1" 90890-90896 rgb_led_ws281x: bit: "1" @@ -12523,6 +14023,9 @@ 91013-91019 rgb_led_ws281x: bit: "0" 91019-91025 rgb_led_ws281x: bit: "0" 91025-91032 rgb_led_ws281x: bit: "0" +90884-90933 rgb_led_ws281x: g: "fd" +90933-90982 rgb_led_ws281x: r: "f7" +90982-91032 rgb_led_ws281x: b: "00" 90884-91032 rgb_led_ws281x: rgb: "#f7fd00" 91032-91038 rgb_led_ws281x: bit: "1" 91038-91044 rgb_led_ws281x: bit: "1" @@ -12548,6 +14051,9 @@ 91161-91167 rgb_led_ws281x: bit: "0" 91167-91173 rgb_led_ws281x: bit: "0" 91173-91180 rgb_led_ws281x: bit: "0" +91032-91081 rgb_led_ws281x: g: "fb" +91081-91130 rgb_led_ws281x: r: "ff" +91130-91180 rgb_led_ws281x: b: "00" 91032-91180 rgb_led_ws281x: rgb: "#fffb00" 91180-91186 rgb_led_ws281x: bit: "1" 91186-91192 rgb_led_ws281x: bit: "1" @@ -12573,6 +14079,9 @@ 91309-91315 rgb_led_ws281x: bit: "0" 91315-91321 rgb_led_ws281x: bit: "0" 91321-91328 rgb_led_ws281x: bit: "0" +91180-91229 rgb_led_ws281x: g: "f7" +91229-91278 rgb_led_ws281x: r: "ef" +91278-91328 rgb_led_ws281x: b: "00" 91180-91328 rgb_led_ws281x: rgb: "#eff700" 91328-91334 rgb_led_ws281x: bit: "1" 91334-91340 rgb_led_ws281x: bit: "1" @@ -12598,6 +14107,9 @@ 91457-91463 rgb_led_ws281x: bit: "0" 91463-91470 rgb_led_ws281x: bit: "0" 91470-91476 rgb_led_ws281x: bit: "0" +91328-91377 rgb_led_ws281x: g: "ef" +91377-91427 rgb_led_ws281x: r: "df" +91427-91476 rgb_led_ws281x: b: "00" 91328-91476 rgb_led_ws281x: rgb: "#dfef00" 91476-91482 rgb_led_ws281x: bit: "1" 91482-91489 rgb_led_ws281x: bit: "1" @@ -12623,6 +14135,9 @@ 91605-91612 rgb_led_ws281x: bit: "0" 91612-91618 rgb_led_ws281x: bit: "0" 91618-91624 rgb_led_ws281x: bit: "0" +91476-91525 rgb_led_ws281x: g: "df" +91525-91575 rgb_led_ws281x: r: "bf" +91575-91624 rgb_led_ws281x: b: "00" 91476-91624 rgb_led_ws281x: rgb: "#bfdf00" 91624-91630 rgb_led_ws281x: bit: "1" 91630-91637 rgb_led_ws281x: bit: "1" @@ -12648,6 +14163,9 @@ 91754-91760 rgb_led_ws281x: bit: "0" 91760-91766 rgb_led_ws281x: bit: "0" 91766-91772 rgb_led_ws281x: bit: "0" +91624-91674 rgb_led_ws281x: g: "df" +91674-91723 rgb_led_ws281x: r: "7e" +91723-91772 rgb_led_ws281x: b: "00" 91624-91772 rgb_led_ws281x: rgb: "#7edf00" 91772-91779 rgb_led_ws281x: bit: "1" 91779-91785 rgb_led_ws281x: bit: "0" @@ -12673,6 +14191,9 @@ 91902-91908 rgb_led_ws281x: bit: "0" 91908-91914 rgb_led_ws281x: bit: "0" 91914-91921 rgb_led_ws281x: bit: "0" +91772-91822 rgb_led_ws281x: g: "be" +91822-91871 rgb_led_ws281x: r: "fd" +91871-91921 rgb_led_ws281x: b: "00" 91772-91921 rgb_led_ws281x: rgb: "#fdbe00" 91921-91927 rgb_led_ws281x: bit: "0" 91927-91933 rgb_led_ws281x: bit: "1" @@ -12698,6 +14219,9 @@ 92050-92056 rgb_led_ws281x: bit: "0" 92056-92062 rgb_led_ws281x: bit: "0" 92062-92069 rgb_led_ws281x: bit: "0" +91921-91970 rgb_led_ws281x: g: "7d" +91970-92019 rgb_led_ws281x: r: "fb" +92019-92069 rgb_led_ws281x: b: "00" 91921-92069 rgb_led_ws281x: rgb: "#fb7d00" 92069-92075 rgb_led_ws281x: bit: "1" 92075-92081 rgb_led_ws281x: bit: "1" @@ -12723,6 +14247,9 @@ 92198-92204 rgb_led_ws281x: bit: "0" 92204-92210 rgb_led_ws281x: bit: "0" 92210-92217 rgb_led_ws281x: bit: "0" +92069-92118 rgb_led_ws281x: g: "fd" +92118-92167 rgb_led_ws281x: r: "f7" +92167-92217 rgb_led_ws281x: b: "00" 92069-92217 rgb_led_ws281x: rgb: "#f7fd00" 92217-92223 rgb_led_ws281x: bit: "1" 92223-92229 rgb_led_ws281x: bit: "1" @@ -12747,6 +14274,9 @@ 92340-92346 rgb_led_ws281x: bit: "0" 92346-92352 rgb_led_ws281x: bit: "0" 92352-92358 rgb_led_ws281x: bit: "0" +92217-92266 rgb_led_ws281x: g: "fb" +92266-92315 rgb_led_ws281x: r: "ff" +92315-92360 rgb_led_ws281x: b: "00" 92217-92360 rgb_led_ws281x: rgb: "#fffb00" 92358-92360 rgb_led_ws281x: bit: "0" 92360-92611 rgb_led_ws281x: reset: "RESET" "RST" "R" diff --git a/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch1.output b/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch1.output index 94d8b93..b657938 100644 --- a/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch1.output +++ b/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch1.output @@ -22,6 +22,9 @@ 5158-5164 rgb_led_ws281x: bit: "1" 5164-5170 rgb_led_ws281x: bit: "1" 5170-5177 rgb_led_ws281x: bit: "1" +5029-5078 rgb_led_ws281x: g: "7e" +5078-5127 rgb_led_ws281x: r: "00" +5127-5177 rgb_led_ws281x: b: "f7" 5029-5177 rgb_led_ws281x: rgb: "#007ef7" 5177-5183 rgb_led_ws281x: bit: "1" 5183-5189 rgb_led_ws281x: bit: "1" @@ -47,6 +50,9 @@ 5306-5312 rgb_led_ws281x: bit: "1" 5312-5318 rgb_led_ws281x: bit: "1" 5318-5325 rgb_led_ws281x: bit: "1" +5177-5226 rgb_led_ws281x: g: "fd" +5226-5275 rgb_led_ws281x: r: "00" +5275-5325 rgb_led_ws281x: b: "ef" 5177-5325 rgb_led_ws281x: rgb: "#00fdef" 5325-5331 rgb_led_ws281x: bit: "1" 5331-5337 rgb_led_ws281x: bit: "1" @@ -72,6 +78,9 @@ 5454-5460 rgb_led_ws281x: bit: "1" 5460-5466 rgb_led_ws281x: bit: "1" 5466-5473 rgb_led_ws281x: bit: "1" +5325-5374 rgb_led_ws281x: g: "fb" +5374-5423 rgb_led_ws281x: r: "00" +5423-5473 rgb_led_ws281x: b: "df" 5325-5473 rgb_led_ws281x: rgb: "#00fbdf" 5473-5479 rgb_led_ws281x: bit: "1" 5479-5485 rgb_led_ws281x: bit: "1" @@ -97,6 +106,9 @@ 5602-5608 rgb_led_ws281x: bit: "1" 5608-5614 rgb_led_ws281x: bit: "1" 5614-5621 rgb_led_ws281x: bit: "1" +5473-5522 rgb_led_ws281x: g: "f7" +5522-5571 rgb_led_ws281x: r: "00" +5571-5621 rgb_led_ws281x: b: "bf" 5473-5621 rgb_led_ws281x: rgb: "#00f7bf" 5621-5627 rgb_led_ws281x: bit: "1" 5627-5633 rgb_led_ws281x: bit: "1" @@ -122,6 +134,9 @@ 5750-5756 rgb_led_ws281x: bit: "1" 5756-5763 rgb_led_ws281x: bit: "1" 5763-5769 rgb_led_ws281x: bit: "0" +5621-5670 rgb_led_ws281x: g: "ff" +5670-5719 rgb_led_ws281x: r: "00" +5719-5769 rgb_led_ws281x: b: "fe" 5621-5769 rgb_led_ws281x: rgb: "#00fffe" 5769-5775 rgb_led_ws281x: bit: "1" 5775-5781 rgb_led_ws281x: bit: "1" @@ -147,6 +162,9 @@ 5898-5905 rgb_led_ws281x: bit: "1" 5905-5911 rgb_led_ws281x: bit: "0" 5911-5917 rgb_led_ws281x: bit: "1" +5769-5818 rgb_led_ws281x: g: "ef" +5818-5868 rgb_led_ws281x: r: "00" +5868-5917 rgb_led_ws281x: b: "7d" 5769-5917 rgb_led_ws281x: rgb: "#00ef7d" 5917-5923 rgb_led_ws281x: bit: "1" 5923-5930 rgb_led_ws281x: bit: "1" @@ -172,6 +190,9 @@ 6046-6053 rgb_led_ws281x: bit: "1" 6053-6059 rgb_led_ws281x: bit: "1" 6059-6065 rgb_led_ws281x: bit: "1" +5917-5967 rgb_led_ws281x: g: "df" +5967-6016 rgb_led_ws281x: r: "00" +6016-6065 rgb_led_ws281x: b: "ff" 5917-6065 rgb_led_ws281x: rgb: "#00dfff" 6065-6072 rgb_led_ws281x: bit: "1" 6072-6078 rgb_led_ws281x: bit: "0" @@ -197,6 +218,9 @@ 6195-6201 rgb_led_ws281x: bit: "1" 6201-6207 rgb_led_ws281x: bit: "1" 6207-6214 rgb_led_ws281x: bit: "1" +6065-6115 rgb_led_ws281x: g: "bf" +6115-6164 rgb_led_ws281x: r: "00" +6164-6214 rgb_led_ws281x: b: "ff" 6065-6214 rgb_led_ws281x: rgb: "#00bfff" 6214-6220 rgb_led_ws281x: bit: "0" 6220-6226 rgb_led_ws281x: bit: "1" @@ -222,6 +246,9 @@ 6343-6349 rgb_led_ws281x: bit: "1" 6349-6355 rgb_led_ws281x: bit: "1" 6355-6362 rgb_led_ws281x: bit: "1" +6214-6263 rgb_led_ws281x: g: "7f" +6263-6312 rgb_led_ws281x: r: "00" +6312-6362 rgb_led_ws281x: b: "f7" 6214-6362 rgb_led_ws281x: rgb: "#007ff7" 6362-6368 rgb_led_ws281x: bit: "1" 6368-6374 rgb_led_ws281x: bit: "1" @@ -247,6 +274,9 @@ 6491-6497 rgb_led_ws281x: bit: "1" 6497-6503 rgb_led_ws281x: bit: "1" 6503-6510 rgb_led_ws281x: bit: "1" +6362-6411 rgb_led_ws281x: g: "fd" +6411-6460 rgb_led_ws281x: r: "00" +6460-6510 rgb_led_ws281x: b: "ef" 6362-6510 rgb_led_ws281x: rgb: "#00fdef" 6510-6516 rgb_led_ws281x: bit: "1" 6516-6522 rgb_led_ws281x: bit: "1" @@ -272,6 +302,9 @@ 6639-6645 rgb_led_ws281x: bit: "1" 6645-6651 rgb_led_ws281x: bit: "1" 6651-6658 rgb_led_ws281x: bit: "1" +6510-6559 rgb_led_ws281x: g: "fb" +6559-6608 rgb_led_ws281x: r: "00" +6608-6658 rgb_led_ws281x: b: "df" 6510-6658 rgb_led_ws281x: rgb: "#00fbdf" 6658-6664 rgb_led_ws281x: bit: "1" 6664-6670 rgb_led_ws281x: bit: "1" @@ -297,6 +330,9 @@ 6787-6793 rgb_led_ws281x: bit: "1" 6793-6799 rgb_led_ws281x: bit: "1" 6799-6806 rgb_led_ws281x: bit: "1" +6658-6707 rgb_led_ws281x: g: "f7" +6707-6756 rgb_led_ws281x: r: "00" +6756-6806 rgb_led_ws281x: b: "bf" 6658-6806 rgb_led_ws281x: rgb: "#00f7bf" 6806-6812 rgb_led_ws281x: bit: "1" 6812-6818 rgb_led_ws281x: bit: "1" @@ -322,6 +358,9 @@ 6935-6941 rgb_led_ws281x: bit: "1" 6941-6948 rgb_led_ws281x: bit: "1" 6948-6954 rgb_led_ws281x: bit: "0" +6806-6855 rgb_led_ws281x: g: "ef" +6855-6904 rgb_led_ws281x: r: "00" +6904-6954 rgb_led_ws281x: b: "fe" 6806-6954 rgb_led_ws281x: rgb: "#00effe" 6954-6960 rgb_led_ws281x: bit: "1" 6960-6966 rgb_led_ws281x: bit: "1" @@ -347,6 +386,9 @@ 7083-7090 rgb_led_ws281x: bit: "1" 7090-7096 rgb_led_ws281x: bit: "0" 7096-7102 rgb_led_ws281x: bit: "1" +6954-7003 rgb_led_ws281x: g: "ff" +7003-7053 rgb_led_ws281x: r: "00" +7053-7102 rgb_led_ws281x: b: "7d" 6954-7102 rgb_led_ws281x: rgb: "#00ff7d" 7102-7108 rgb_led_ws281x: bit: "1" 7108-7115 rgb_led_ws281x: bit: "1" @@ -372,6 +414,9 @@ 7232-7238 rgb_led_ws281x: bit: "0" 7238-7244 rgb_led_ws281x: bit: "1" 7244-7250 rgb_led_ws281x: bit: "1" +7102-7152 rgb_led_ws281x: g: "df" +7152-7201 rgb_led_ws281x: r: "00" +7201-7250 rgb_led_ws281x: b: "fb" 7102-7250 rgb_led_ws281x: rgb: "#00dffb" 7250-7257 rgb_led_ws281x: bit: "1" 7257-7263 rgb_led_ws281x: bit: "0" @@ -397,6 +442,9 @@ 7380-7386 rgb_led_ws281x: bit: "1" 7386-7392 rgb_led_ws281x: bit: "1" 7392-7399 rgb_led_ws281x: bit: "1" +7250-7300 rgb_led_ws281x: g: "be" +7300-7349 rgb_led_ws281x: r: "00" +7349-7399 rgb_led_ws281x: b: "ff" 7250-7399 rgb_led_ws281x: rgb: "#00beff" 7399-7405 rgb_led_ws281x: bit: "0" 7405-7411 rgb_led_ws281x: bit: "1" @@ -422,6 +470,9 @@ 7528-7534 rgb_led_ws281x: bit: "1" 7534-7540 rgb_led_ws281x: bit: "1" 7540-7547 rgb_led_ws281x: bit: "1" +7399-7448 rgb_led_ws281x: g: "7f" +7448-7497 rgb_led_ws281x: r: "00" +7497-7547 rgb_led_ws281x: b: "f7" 7399-7547 rgb_led_ws281x: rgb: "#007ff7" 7547-7553 rgb_led_ws281x: bit: "1" 7553-7559 rgb_led_ws281x: bit: "1" @@ -447,6 +498,9 @@ 7676-7682 rgb_led_ws281x: bit: "1" 7682-7688 rgb_led_ws281x: bit: "1" 7688-7695 rgb_led_ws281x: bit: "1" +7547-7596 rgb_led_ws281x: g: "fd" +7596-7645 rgb_led_ws281x: r: "00" +7645-7695 rgb_led_ws281x: b: "ef" 7547-7695 rgb_led_ws281x: rgb: "#00fdef" 7695-7701 rgb_led_ws281x: bit: "1" 7701-7707 rgb_led_ws281x: bit: "1" @@ -472,6 +526,9 @@ 7824-7830 rgb_led_ws281x: bit: "1" 7830-7836 rgb_led_ws281x: bit: "1" 7836-7843 rgb_led_ws281x: bit: "1" +7695-7744 rgb_led_ws281x: g: "fb" +7744-7793 rgb_led_ws281x: r: "00" +7793-7843 rgb_led_ws281x: b: "df" 7695-7843 rgb_led_ws281x: rgb: "#00fbdf" 7843-7849 rgb_led_ws281x: bit: "1" 7849-7855 rgb_led_ws281x: bit: "1" @@ -497,6 +554,9 @@ 7972-7978 rgb_led_ws281x: bit: "1" 7978-7984 rgb_led_ws281x: bit: "1" 7984-7991 rgb_led_ws281x: bit: "1" +7843-7892 rgb_led_ws281x: g: "f7" +7892-7941 rgb_led_ws281x: r: "00" +7941-7991 rgb_led_ws281x: b: "bf" 7843-7991 rgb_led_ws281x: rgb: "#00f7bf" 7991-7997 rgb_led_ws281x: bit: "1" 7997-8003 rgb_led_ws281x: bit: "1" @@ -522,6 +582,9 @@ 8120-8126 rgb_led_ws281x: bit: "1" 8126-8133 rgb_led_ws281x: bit: "1" 8133-8139 rgb_led_ws281x: bit: "0" +7991-8040 rgb_led_ws281x: g: "ef" +8040-8090 rgb_led_ws281x: r: "00" +8090-8139 rgb_led_ws281x: b: "7e" 7991-8139 rgb_led_ws281x: rgb: "#00ef7e" 8139-8145 rgb_led_ws281x: bit: "1" 8145-8151 rgb_led_ws281x: bit: "1" @@ -547,6 +610,9 @@ 8268-8275 rgb_led_ws281x: bit: "1" 8275-8281 rgb_led_ws281x: bit: "0" 8281-8287 rgb_led_ws281x: bit: "1" +8139-8188 rgb_led_ws281x: g: "ff" +8188-8238 rgb_led_ws281x: r: "00" +8238-8287 rgb_led_ws281x: b: "fd" 8139-8287 rgb_led_ws281x: rgb: "#00fffd" 8287-8293 rgb_led_ws281x: bit: "1" 8293-8300 rgb_led_ws281x: bit: "1" @@ -572,6 +638,9 @@ 8417-8423 rgb_led_ws281x: bit: "0" 8423-8429 rgb_led_ws281x: bit: "1" 8429-8435 rgb_led_ws281x: bit: "1" +8287-8337 rgb_led_ws281x: g: "ff" +8337-8386 rgb_led_ws281x: r: "00" +8386-8435 rgb_led_ws281x: b: "fb" 8287-8435 rgb_led_ws281x: rgb: "#00fffb" 8435-8442 rgb_led_ws281x: bit: "1" 8442-8448 rgb_led_ws281x: bit: "0" @@ -597,6 +666,9 @@ 8565-8571 rgb_led_ws281x: bit: "1" 8571-8577 rgb_led_ws281x: bit: "1" 8577-8584 rgb_led_ws281x: bit: "1" +8435-8485 rgb_led_ws281x: g: "be" +8485-8534 rgb_led_ws281x: r: "00" +8534-8584 rgb_led_ws281x: b: "f7" 8435-8584 rgb_led_ws281x: rgb: "#00bef7" 8584-8590 rgb_led_ws281x: bit: "0" 8590-8596 rgb_led_ws281x: bit: "1" @@ -622,6 +694,9 @@ 8713-8719 rgb_led_ws281x: bit: "1" 8719-8725 rgb_led_ws281x: bit: "1" 8725-8732 rgb_led_ws281x: bit: "1" +8584-8633 rgb_led_ws281x: g: "7f" +8633-8682 rgb_led_ws281x: r: "00" +8682-8732 rgb_led_ws281x: b: "f7" 8584-8732 rgb_led_ws281x: rgb: "#007ff7" 8732-8738 rgb_led_ws281x: bit: "1" 8738-8744 rgb_led_ws281x: bit: "1" @@ -647,6 +722,9 @@ 8861-8867 rgb_led_ws281x: bit: "1" 8867-8873 rgb_led_ws281x: bit: "1" 8873-8880 rgb_led_ws281x: bit: "1" +8732-8781 rgb_led_ws281x: g: "fd" +8781-8830 rgb_led_ws281x: r: "00" +8830-8880 rgb_led_ws281x: b: "ef" 8732-8880 rgb_led_ws281x: rgb: "#00fdef" 8880-8886 rgb_led_ws281x: bit: "1" 8886-8892 rgb_led_ws281x: bit: "1" @@ -672,6 +750,9 @@ 9009-9015 rgb_led_ws281x: bit: "1" 9015-9021 rgb_led_ws281x: bit: "1" 9021-9028 rgb_led_ws281x: bit: "1" +8880-8929 rgb_led_ws281x: g: "fb" +8929-8978 rgb_led_ws281x: r: "00" +8978-9028 rgb_led_ws281x: b: "df" 8880-9028 rgb_led_ws281x: rgb: "#00fbdf" 9028-9034 rgb_led_ws281x: bit: "1" 9034-9040 rgb_led_ws281x: bit: "1" @@ -697,6 +778,9 @@ 9157-9163 rgb_led_ws281x: bit: "1" 9163-9169 rgb_led_ws281x: bit: "1" 9169-9176 rgb_led_ws281x: bit: "1" +9028-9077 rgb_led_ws281x: g: "f7" +9077-9126 rgb_led_ws281x: r: "00" +9126-9176 rgb_led_ws281x: b: "bf" 9028-9176 rgb_led_ws281x: rgb: "#00f7bf" 9176-9182 rgb_led_ws281x: bit: "1" 9182-9188 rgb_led_ws281x: bit: "1" @@ -722,6 +806,9 @@ 9305-9311 rgb_led_ws281x: bit: "1" 9311-9318 rgb_led_ws281x: bit: "1" 9318-9324 rgb_led_ws281x: bit: "0" +9176-9225 rgb_led_ws281x: g: "ef" +9225-9275 rgb_led_ws281x: r: "00" +9275-9324 rgb_led_ws281x: b: "7e" 9176-9324 rgb_led_ws281x: rgb: "#00ef7e" 9324-9330 rgb_led_ws281x: bit: "1" 9330-9337 rgb_led_ws281x: bit: "1" @@ -747,6 +834,9 @@ 9453-9460 rgb_led_ws281x: bit: "1" 9460-9466 rgb_led_ws281x: bit: "0" 9466-9472 rgb_led_ws281x: bit: "1" +9324-9373 rgb_led_ws281x: g: "df" +9373-9423 rgb_led_ws281x: r: "00" +9423-9472 rgb_led_ws281x: b: "fd" 9324-9472 rgb_led_ws281x: rgb: "#00dffd" 9472-9478 rgb_led_ws281x: bit: "1" 9478-9485 rgb_led_ws281x: bit: "1" @@ -772,6 +862,9 @@ 9602-9608 rgb_led_ws281x: bit: "0" 9608-9614 rgb_led_ws281x: bit: "1" 9614-9620 rgb_led_ws281x: bit: "1" +9472-9522 rgb_led_ws281x: g: "ff" +9522-9571 rgb_led_ws281x: r: "00" +9571-9620 rgb_led_ws281x: b: "fb" 9472-9620 rgb_led_ws281x: rgb: "#00fffb" 9620-9627 rgb_led_ws281x: bit: "1" 9627-9633 rgb_led_ws281x: bit: "0" @@ -797,6 +890,9 @@ 9750-9756 rgb_led_ws281x: bit: "1" 9756-9762 rgb_led_ws281x: bit: "1" 9762-9769 rgb_led_ws281x: bit: "1" +9620-9670 rgb_led_ws281x: g: "be" +9670-9719 rgb_led_ws281x: r: "00" +9719-9769 rgb_led_ws281x: b: "f7" 9620-9769 rgb_led_ws281x: rgb: "#00bef7" 9769-9775 rgb_led_ws281x: bit: "0" 9775-9781 rgb_led_ws281x: bit: "1" @@ -822,6 +918,9 @@ 9898-9904 rgb_led_ws281x: bit: "1" 9904-9910 rgb_led_ws281x: bit: "1" 9910-9917 rgb_led_ws281x: bit: "1" +9769-9818 rgb_led_ws281x: g: "7d" +9818-9867 rgb_led_ws281x: r: "00" +9867-9917 rgb_led_ws281x: b: "ff" 9769-9917 rgb_led_ws281x: rgb: "#007dff" 9917-9923 rgb_led_ws281x: bit: "1" 9923-9929 rgb_led_ws281x: bit: "1" @@ -847,6 +946,9 @@ 10046-10052 rgb_led_ws281x: bit: "1" 10052-10058 rgb_led_ws281x: bit: "1" 10058-10065 rgb_led_ws281x: bit: "1" +9917-9966 rgb_led_ws281x: g: "ff" +9966-10015 rgb_led_ws281x: r: "00" +10015-10065 rgb_led_ws281x: b: "ef" 9917-10065 rgb_led_ws281x: rgb: "#00ffef" 10065-10071 rgb_led_ws281x: bit: "1" 10071-10077 rgb_led_ws281x: bit: "1" @@ -872,6 +974,9 @@ 10194-10200 rgb_led_ws281x: bit: "1" 10200-10206 rgb_led_ws281x: bit: "1" 10206-10213 rgb_led_ws281x: bit: "1" +10065-10114 rgb_led_ws281x: g: "fb" +10114-10163 rgb_led_ws281x: r: "00" +10163-10213 rgb_led_ws281x: b: "df" 10065-10213 rgb_led_ws281x: rgb: "#00fbdf" 10213-10219 rgb_led_ws281x: bit: "1" 10219-10225 rgb_led_ws281x: bit: "1" @@ -897,6 +1002,9 @@ 10342-10348 rgb_led_ws281x: bit: "1" 10348-10354 rgb_led_ws281x: bit: "1" 10354-10361 rgb_led_ws281x: bit: "1" +10213-10262 rgb_led_ws281x: g: "f7" +10262-10311 rgb_led_ws281x: r: "00" +10311-10361 rgb_led_ws281x: b: "bf" 10213-10361 rgb_led_ws281x: rgb: "#00f7bf" 10361-10367 rgb_led_ws281x: bit: "1" 10367-10373 rgb_led_ws281x: bit: "1" @@ -922,6 +1030,9 @@ 10490-10496 rgb_led_ws281x: bit: "1" 10496-10503 rgb_led_ws281x: bit: "1" 10503-10509 rgb_led_ws281x: bit: "0" +10361-10410 rgb_led_ws281x: g: "ef" +10410-10460 rgb_led_ws281x: r: "00" +10460-10509 rgb_led_ws281x: b: "7e" 10361-10509 rgb_led_ws281x: rgb: "#00ef7e" 10509-10515 rgb_led_ws281x: bit: "1" 10515-10522 rgb_led_ws281x: bit: "1" @@ -947,6 +1058,9 @@ 10638-10645 rgb_led_ws281x: bit: "1" 10645-10651 rgb_led_ws281x: bit: "0" 10651-10657 rgb_led_ws281x: bit: "1" +10509-10558 rgb_led_ws281x: g: "df" +10558-10608 rgb_led_ws281x: r: "00" +10608-10657 rgb_led_ws281x: b: "fd" 10509-10657 rgb_led_ws281x: rgb: "#00dffd" 10657-10664 rgb_led_ws281x: bit: "1" 10664-10670 rgb_led_ws281x: bit: "0" @@ -972,6 +1086,9 @@ 10787-10793 rgb_led_ws281x: bit: "0" 10793-10799 rgb_led_ws281x: bit: "1" 10799-10805 rgb_led_ws281x: bit: "1" +10657-10707 rgb_led_ws281x: g: "bf" +10707-10756 rgb_led_ws281x: r: "00" +10756-10805 rgb_led_ws281x: b: "fb" 10657-10805 rgb_led_ws281x: rgb: "#00bffb" 10805-10812 rgb_led_ws281x: bit: "1" 10812-10818 rgb_led_ws281x: bit: "0" @@ -997,6 +1114,9 @@ 10935-10941 rgb_led_ws281x: bit: "1" 10941-10947 rgb_led_ws281x: bit: "1" 10947-10954 rgb_led_ws281x: bit: "1" +10805-10855 rgb_led_ws281x: g: "be" +10855-10904 rgb_led_ws281x: r: "00" +10904-10954 rgb_led_ws281x: b: "f7" 10805-10954 rgb_led_ws281x: rgb: "#00bef7" 10954-10960 rgb_led_ws281x: bit: "0" 10960-10966 rgb_led_ws281x: bit: "1" @@ -1022,6 +1142,9 @@ 11083-11089 rgb_led_ws281x: bit: "1" 11089-11095 rgb_led_ws281x: bit: "1" 11095-11102 rgb_led_ws281x: bit: "1" +10954-11003 rgb_led_ws281x: g: "7d" +11003-11052 rgb_led_ws281x: r: "00" +11052-11102 rgb_led_ws281x: b: "ef" 10954-11102 rgb_led_ws281x: rgb: "#007def" 11102-11108 rgb_led_ws281x: bit: "1" 11108-11114 rgb_led_ws281x: bit: "1" @@ -1047,6 +1170,9 @@ 11231-11237 rgb_led_ws281x: bit: "1" 11237-11243 rgb_led_ws281x: bit: "1" 11243-11250 rgb_led_ws281x: bit: "1" +11102-11151 rgb_led_ws281x: g: "ff" +11151-11200 rgb_led_ws281x: r: "00" +11200-11250 rgb_led_ws281x: b: "ef" 11102-11250 rgb_led_ws281x: rgb: "#00ffef" 11250-11256 rgb_led_ws281x: bit: "1" 11256-11262 rgb_led_ws281x: bit: "1" @@ -1072,6 +1198,9 @@ 11379-11385 rgb_led_ws281x: bit: "1" 11385-11391 rgb_led_ws281x: bit: "1" 11391-11398 rgb_led_ws281x: bit: "1" +11250-11299 rgb_led_ws281x: g: "ff" +11299-11348 rgb_led_ws281x: r: "00" +11348-11398 rgb_led_ws281x: b: "df" 11250-11398 rgb_led_ws281x: rgb: "#00ffdf" 11398-11404 rgb_led_ws281x: bit: "1" 11404-11410 rgb_led_ws281x: bit: "1" @@ -1097,6 +1226,9 @@ 11527-11533 rgb_led_ws281x: bit: "1" 11533-11540 rgb_led_ws281x: bit: "1" 11540-11546 rgb_led_ws281x: bit: "0" +11398-11447 rgb_led_ws281x: g: "f7" +11447-11496 rgb_led_ws281x: r: "00" +11496-11546 rgb_led_ws281x: b: "be" 11398-11546 rgb_led_ws281x: rgb: "#00f7be" 11546-11552 rgb_led_ws281x: bit: "1" 11552-11558 rgb_led_ws281x: bit: "1" @@ -1122,6 +1254,9 @@ 11675-11681 rgb_led_ws281x: bit: "1" 11681-11688 rgb_led_ws281x: bit: "1" 11688-11694 rgb_led_ws281x: bit: "1" +11546-11595 rgb_led_ws281x: g: "ef" +11595-11645 rgb_led_ws281x: r: "00" +11645-11694 rgb_led_ws281x: b: "7f" 11546-11694 rgb_led_ws281x: rgb: "#00ef7f" 11694-11700 rgb_led_ws281x: bit: "1" 11700-11707 rgb_led_ws281x: bit: "1" @@ -1147,6 +1282,9 @@ 11823-11830 rgb_led_ws281x: bit: "1" 11830-11836 rgb_led_ws281x: bit: "0" 11836-11842 rgb_led_ws281x: bit: "1" +11694-11743 rgb_led_ws281x: g: "df" +11743-11793 rgb_led_ws281x: r: "00" +11793-11842 rgb_led_ws281x: b: "fd" 11694-11842 rgb_led_ws281x: rgb: "#00dffd" 11842-11849 rgb_led_ws281x: bit: "1" 11849-11855 rgb_led_ws281x: bit: "0" @@ -1172,6 +1310,9 @@ 11972-11978 rgb_led_ws281x: bit: "0" 11978-11984 rgb_led_ws281x: bit: "1" 11984-11990 rgb_led_ws281x: bit: "1" +11842-11892 rgb_led_ws281x: g: "bf" +11892-11941 rgb_led_ws281x: r: "00" +11941-11990 rgb_led_ws281x: b: "fb" 11842-11990 rgb_led_ws281x: rgb: "#00bffb" 11990-11997 rgb_led_ws281x: bit: "1" 11997-12003 rgb_led_ws281x: bit: "1" @@ -1197,6 +1338,9 @@ 12120-12126 rgb_led_ws281x: bit: "1" 12126-12132 rgb_led_ws281x: bit: "1" 12132-12139 rgb_led_ws281x: bit: "1" +11990-12040 rgb_led_ws281x: g: "fe" +12040-12089 rgb_led_ws281x: r: "00" +12089-12139 rgb_led_ws281x: b: "f7" 11990-12139 rgb_led_ws281x: rgb: "#00fef7" 12139-12145 rgb_led_ws281x: bit: "0" 12145-12151 rgb_led_ws281x: bit: "1" @@ -1222,6 +1366,9 @@ 12268-12274 rgb_led_ws281x: bit: "1" 12274-12280 rgb_led_ws281x: bit: "1" 12280-12287 rgb_led_ws281x: bit: "1" +12139-12188 rgb_led_ws281x: g: "7d" +12188-12237 rgb_led_ws281x: r: "00" +12237-12287 rgb_led_ws281x: b: "ef" 12139-12287 rgb_led_ws281x: rgb: "#007def" 12287-12293 rgb_led_ws281x: bit: "1" 12293-12299 rgb_led_ws281x: bit: "1" @@ -1247,6 +1394,9 @@ 12416-12422 rgb_led_ws281x: bit: "1" 12422-12428 rgb_led_ws281x: bit: "1" 12428-12435 rgb_led_ws281x: bit: "1" +12287-12336 rgb_led_ws281x: g: "ff" +12336-12385 rgb_led_ws281x: r: "00" +12385-12435 rgb_led_ws281x: b: "ff" 12287-12435 rgb_led_ws281x: rgb: "#00ffff" 12435-12441 rgb_led_ws281x: bit: "1" 12441-12447 rgb_led_ws281x: bit: "1" @@ -1272,6 +1422,9 @@ 12564-12570 rgb_led_ws281x: bit: "1" 12570-12576 rgb_led_ws281x: bit: "1" 12576-12583 rgb_led_ws281x: bit: "1" +12435-12484 rgb_led_ws281x: g: "ff" +12484-12533 rgb_led_ws281x: r: "00" +12533-12583 rgb_led_ws281x: b: "df" 12435-12583 rgb_led_ws281x: rgb: "#00ffdf" 12583-12589 rgb_led_ws281x: bit: "1" 12589-12595 rgb_led_ws281x: bit: "1" @@ -1297,6 +1450,9 @@ 12712-12718 rgb_led_ws281x: bit: "1" 12718-12725 rgb_led_ws281x: bit: "1" 12725-12731 rgb_led_ws281x: bit: "0" +12583-12632 rgb_led_ws281x: g: "f7" +12632-12681 rgb_led_ws281x: r: "00" +12681-12731 rgb_led_ws281x: b: "be" 12583-12731 rgb_led_ws281x: rgb: "#00f7be" 12731-12737 rgb_led_ws281x: bit: "1" 12737-12743 rgb_led_ws281x: bit: "1" @@ -1322,6 +1478,9 @@ 12860-12866 rgb_led_ws281x: bit: "1" 12866-12873 rgb_led_ws281x: bit: "1" 12873-12879 rgb_led_ws281x: bit: "1" +12731-12780 rgb_led_ws281x: g: "ef" +12780-12830 rgb_led_ws281x: r: "00" +12830-12879 rgb_led_ws281x: b: "7f" 12731-12879 rgb_led_ws281x: rgb: "#00ef7f" 12879-12885 rgb_led_ws281x: bit: "1" 12885-12892 rgb_led_ws281x: bit: "1" @@ -1347,6 +1506,9 @@ 13008-13015 rgb_led_ws281x: bit: "1" 13015-13021 rgb_led_ws281x: bit: "1" 13021-13027 rgb_led_ws281x: bit: "1" +12879-12928 rgb_led_ws281x: g: "df" +12928-12978 rgb_led_ws281x: r: "00" +12978-13027 rgb_led_ws281x: b: "ff" 12879-13027 rgb_led_ws281x: rgb: "#00dfff" 13027-13034 rgb_led_ws281x: bit: "1" 13034-13040 rgb_led_ws281x: bit: "0" @@ -1372,6 +1534,9 @@ 13157-13163 rgb_led_ws281x: bit: "0" 13163-13169 rgb_led_ws281x: bit: "1" 13169-13176 rgb_led_ws281x: bit: "1" +13027-13077 rgb_led_ws281x: g: "bf" +13077-13126 rgb_led_ws281x: r: "00" +13126-13176 rgb_led_ws281x: b: "fb" 13027-13176 rgb_led_ws281x: rgb: "#00bffb" 13176-13182 rgb_led_ws281x: bit: "0" 13182-13188 rgb_led_ws281x: bit: "1" @@ -1397,6 +1562,9 @@ 13305-13311 rgb_led_ws281x: bit: "1" 13311-13317 rgb_led_ws281x: bit: "1" 13317-13324 rgb_led_ws281x: bit: "1" +13176-13225 rgb_led_ws281x: g: "7e" +13225-13274 rgb_led_ws281x: r: "00" +13274-13324 rgb_led_ws281x: b: "f7" 13176-13324 rgb_led_ws281x: rgb: "#007ef7" 13324-13330 rgb_led_ws281x: bit: "1" 13330-13336 rgb_led_ws281x: bit: "1" @@ -1422,6 +1590,9 @@ 13453-13459 rgb_led_ws281x: bit: "1" 13459-13465 rgb_led_ws281x: bit: "1" 13465-13472 rgb_led_ws281x: bit: "1" +13324-13373 rgb_led_ws281x: g: "fd" +13373-13422 rgb_led_ws281x: r: "00" +13422-13472 rgb_led_ws281x: b: "ef" 13324-13472 rgb_led_ws281x: rgb: "#00fdef" 13472-13478 rgb_led_ws281x: bit: "1" 13478-13484 rgb_led_ws281x: bit: "1" @@ -1447,6 +1618,9 @@ 13601-13607 rgb_led_ws281x: bit: "1" 13607-13613 rgb_led_ws281x: bit: "1" 13613-13620 rgb_led_ws281x: bit: "1" +13472-13521 rgb_led_ws281x: g: "ff" +13521-13570 rgb_led_ws281x: r: "00" +13570-13620 rgb_led_ws281x: b: "ff" 13472-13620 rgb_led_ws281x: rgb: "#00ffff" 13620-13626 rgb_led_ws281x: bit: "1" 13626-13632 rgb_led_ws281x: bit: "1" @@ -1472,6 +1646,9 @@ 13749-13755 rgb_led_ws281x: bit: "1" 13755-13761 rgb_led_ws281x: bit: "1" 13761-13768 rgb_led_ws281x: bit: "1" +13620-13669 rgb_led_ws281x: g: "ff" +13669-13718 rgb_led_ws281x: r: "00" +13718-13768 rgb_led_ws281x: b: "ff" 13620-13768 rgb_led_ws281x: rgb: "#00ffff" 13768-13774 rgb_led_ws281x: bit: "1" 13774-13780 rgb_led_ws281x: bit: "1" @@ -1497,6 +1674,9 @@ 13897-13903 rgb_led_ws281x: bit: "1" 13903-13910 rgb_led_ws281x: bit: "1" 13910-13916 rgb_led_ws281x: bit: "0" +13768-13817 rgb_led_ws281x: g: "ff" +13817-13866 rgb_led_ws281x: r: "00" +13866-13916 rgb_led_ws281x: b: "be" 13768-13916 rgb_led_ws281x: rgb: "#00ffbe" 13916-13922 rgb_led_ws281x: bit: "1" 13922-13928 rgb_led_ws281x: bit: "1" @@ -1522,6 +1702,9 @@ 14045-14052 rgb_led_ws281x: bit: "1" 14052-14058 rgb_led_ws281x: bit: "0" 14058-14064 rgb_led_ws281x: bit: "1" +13916-13965 rgb_led_ws281x: g: "ef" +13965-14015 rgb_led_ws281x: r: "00" +14015-14064 rgb_led_ws281x: b: "7d" 13916-14064 rgb_led_ws281x: rgb: "#00ef7d" 14064-14070 rgb_led_ws281x: bit: "1" 14070-14077 rgb_led_ws281x: bit: "1" @@ -1547,6 +1730,9 @@ 14193-14200 rgb_led_ws281x: bit: "1" 14200-14206 rgb_led_ws281x: bit: "1" 14206-14212 rgb_led_ws281x: bit: "1" +14064-14114 rgb_led_ws281x: g: "df" +14114-14163 rgb_led_ws281x: r: "00" +14163-14212 rgb_led_ws281x: b: "ff" 14064-14212 rgb_led_ws281x: rgb: "#00dfff" 14212-14219 rgb_led_ws281x: bit: "1" 14219-14225 rgb_led_ws281x: bit: "0" @@ -1572,6 +1758,9 @@ 14342-14348 rgb_led_ws281x: bit: "0" 14348-14354 rgb_led_ws281x: bit: "1" 14354-14361 rgb_led_ws281x: bit: "1" +14212-14262 rgb_led_ws281x: g: "bf" +14262-14311 rgb_led_ws281x: r: "00" +14311-14361 rgb_led_ws281x: b: "fb" 14212-14361 rgb_led_ws281x: rgb: "#00bffb" 14361-14367 rgb_led_ws281x: bit: "0" 14367-14373 rgb_led_ws281x: bit: "1" @@ -1597,6 +1786,9 @@ 14490-14496 rgb_led_ws281x: bit: "1" 14496-14502 rgb_led_ws281x: bit: "1" 14502-14509 rgb_led_ws281x: bit: "1" +14361-14410 rgb_led_ws281x: g: "7e" +14410-14459 rgb_led_ws281x: r: "00" +14459-14509 rgb_led_ws281x: b: "f7" 14361-14509 rgb_led_ws281x: rgb: "#007ef7" 14509-14515 rgb_led_ws281x: bit: "1" 14515-14521 rgb_led_ws281x: bit: "1" @@ -1622,6 +1814,9 @@ 14638-14644 rgb_led_ws281x: bit: "1" 14644-14650 rgb_led_ws281x: bit: "1" 14650-14657 rgb_led_ws281x: bit: "1" +14509-14558 rgb_led_ws281x: g: "fd" +14558-14607 rgb_led_ws281x: r: "00" +14607-14657 rgb_led_ws281x: b: "ef" 14509-14657 rgb_led_ws281x: rgb: "#00fdef" 14657-14663 rgb_led_ws281x: bit: "1" 14663-14669 rgb_led_ws281x: bit: "1" @@ -1647,6 +1842,9 @@ 14786-14792 rgb_led_ws281x: bit: "1" 14792-14798 rgb_led_ws281x: bit: "1" 14798-14805 rgb_led_ws281x: bit: "1" +14657-14706 rgb_led_ws281x: g: "fb" +14706-14755 rgb_led_ws281x: r: "00" +14755-14805 rgb_led_ws281x: b: "df" 14657-14805 rgb_led_ws281x: rgb: "#00fbdf" 14805-14811 rgb_led_ws281x: bit: "1" 14811-14817 rgb_led_ws281x: bit: "1" @@ -1672,6 +1870,9 @@ 14934-14940 rgb_led_ws281x: bit: "1" 14940-14946 rgb_led_ws281x: bit: "1" 14946-14953 rgb_led_ws281x: bit: "1" +14805-14854 rgb_led_ws281x: g: "ff" +14854-14903 rgb_led_ws281x: r: "00" +14903-14953 rgb_led_ws281x: b: "bf" 14805-14953 rgb_led_ws281x: rgb: "#00ffbf" 14953-14959 rgb_led_ws281x: bit: "1" 14959-14965 rgb_led_ws281x: bit: "1" @@ -1697,6 +1898,9 @@ 15082-15088 rgb_led_ws281x: bit: "1" 15088-15095 rgb_led_ws281x: bit: "1" 15095-15101 rgb_led_ws281x: bit: "0" +14953-15002 rgb_led_ws281x: g: "ff" +15002-15051 rgb_led_ws281x: r: "00" +15051-15101 rgb_led_ws281x: b: "be" 14953-15101 rgb_led_ws281x: rgb: "#00ffbe" 15101-15107 rgb_led_ws281x: bit: "1" 15107-15113 rgb_led_ws281x: bit: "1" @@ -1722,6 +1926,9 @@ 15230-15237 rgb_led_ws281x: bit: "1" 15237-15243 rgb_led_ws281x: bit: "0" 15243-15249 rgb_led_ws281x: bit: "1" +15101-15150 rgb_led_ws281x: g: "ef" +15150-15200 rgb_led_ws281x: r: "00" +15200-15249 rgb_led_ws281x: b: "7d" 15101-15249 rgb_led_ws281x: rgb: "#00ef7d" 15249-15255 rgb_led_ws281x: bit: "1" 15255-15262 rgb_led_ws281x: bit: "1" @@ -1747,6 +1954,9 @@ 15378-15385 rgb_led_ws281x: bit: "1" 15385-15391 rgb_led_ws281x: bit: "1" 15391-15397 rgb_led_ws281x: bit: "1" +15249-15299 rgb_led_ws281x: g: "df" +15299-15348 rgb_led_ws281x: r: "00" +15348-15397 rgb_led_ws281x: b: "ff" 15249-15397 rgb_led_ws281x: rgb: "#00dfff" 15397-15404 rgb_led_ws281x: bit: "1" 15404-15410 rgb_led_ws281x: bit: "0" @@ -1772,6 +1982,9 @@ 15527-15533 rgb_led_ws281x: bit: "1" 15533-15539 rgb_led_ws281x: bit: "1" 15539-15546 rgb_led_ws281x: bit: "1" +15397-15447 rgb_led_ws281x: g: "bf" +15447-15496 rgb_led_ws281x: r: "00" +15496-15546 rgb_led_ws281x: b: "ff" 15397-15546 rgb_led_ws281x: rgb: "#00bfff" 15546-15552 rgb_led_ws281x: bit: "0" 15552-15558 rgb_led_ws281x: bit: "1" @@ -1797,6 +2010,9 @@ 15675-15681 rgb_led_ws281x: bit: "1" 15681-15687 rgb_led_ws281x: bit: "1" 15687-15694 rgb_led_ws281x: bit: "1" +15546-15595 rgb_led_ws281x: g: "7e" +15595-15644 rgb_led_ws281x: r: "00" +15644-15694 rgb_led_ws281x: b: "f7" 15546-15694 rgb_led_ws281x: rgb: "#007ef7" 15694-15700 rgb_led_ws281x: bit: "1" 15700-15706 rgb_led_ws281x: bit: "1" @@ -1822,6 +2038,9 @@ 15823-15829 rgb_led_ws281x: bit: "1" 15829-15835 rgb_led_ws281x: bit: "1" 15835-15842 rgb_led_ws281x: bit: "1" +15694-15743 rgb_led_ws281x: g: "fd" +15743-15792 rgb_led_ws281x: r: "00" +15792-15842 rgb_led_ws281x: b: "ef" 15694-15842 rgb_led_ws281x: rgb: "#00fdef" 15842-15848 rgb_led_ws281x: bit: "1" 15848-15854 rgb_led_ws281x: bit: "1" @@ -1847,6 +2066,9 @@ 15971-15977 rgb_led_ws281x: bit: "1" 15977-15983 rgb_led_ws281x: bit: "1" 15983-15990 rgb_led_ws281x: bit: "1" +15842-15891 rgb_led_ws281x: g: "fb" +15891-15940 rgb_led_ws281x: r: "00" +15940-15990 rgb_led_ws281x: b: "df" 15842-15990 rgb_led_ws281x: rgb: "#00fbdf" 15990-15996 rgb_led_ws281x: bit: "1" 15996-16002 rgb_led_ws281x: bit: "1" @@ -1872,6 +2094,9 @@ 16119-16125 rgb_led_ws281x: bit: "1" 16125-16131 rgb_led_ws281x: bit: "1" 16131-16138 rgb_led_ws281x: bit: "1" +15990-16039 rgb_led_ws281x: g: "f7" +16039-16088 rgb_led_ws281x: r: "00" +16088-16138 rgb_led_ws281x: b: "bf" 15990-16138 rgb_led_ws281x: rgb: "#00f7bf" 16138-16144 rgb_led_ws281x: bit: "1" 16144-16150 rgb_led_ws281x: bit: "1" @@ -1897,6 +2122,9 @@ 16267-16273 rgb_led_ws281x: bit: "1" 16273-16280 rgb_led_ws281x: bit: "1" 16280-16286 rgb_led_ws281x: bit: "0" +16138-16187 rgb_led_ws281x: g: "ff" +16187-16237 rgb_led_ws281x: r: "00" +16237-16286 rgb_led_ws281x: b: "7e" 16138-16286 rgb_led_ws281x: rgb: "#00ff7e" 16286-16292 rgb_led_ws281x: bit: "1" 16292-16298 rgb_led_ws281x: bit: "1" @@ -1922,6 +2150,9 @@ 16415-16422 rgb_led_ws281x: bit: "1" 16422-16428 rgb_led_ws281x: bit: "0" 16428-16434 rgb_led_ws281x: bit: "1" +16286-16335 rgb_led_ws281x: g: "ff" +16335-16385 rgb_led_ws281x: r: "00" +16385-16434 rgb_led_ws281x: b: "7d" 16286-16434 rgb_led_ws281x: rgb: "#00ff7d" 16434-16440 rgb_led_ws281x: bit: "1" 16440-16447 rgb_led_ws281x: bit: "1" @@ -1947,6 +2178,9 @@ 16564-16570 rgb_led_ws281x: bit: "0" 16570-16576 rgb_led_ws281x: bit: "1" 16576-16582 rgb_led_ws281x: bit: "1" +16434-16484 rgb_led_ws281x: g: "df" +16484-16533 rgb_led_ws281x: r: "00" +16533-16582 rgb_led_ws281x: b: "fb" 16434-16582 rgb_led_ws281x: rgb: "#00dffb" 16582-16589 rgb_led_ws281x: bit: "1" 16589-16595 rgb_led_ws281x: bit: "0" @@ -1972,6 +2206,9 @@ 16712-16718 rgb_led_ws281x: bit: "1" 16718-16724 rgb_led_ws281x: bit: "1" 16724-16731 rgb_led_ws281x: bit: "1" +16582-16632 rgb_led_ws281x: g: "bf" +16632-16681 rgb_led_ws281x: r: "00" +16681-16731 rgb_led_ws281x: b: "ff" 16582-16731 rgb_led_ws281x: rgb: "#00bfff" 16731-16737 rgb_led_ws281x: bit: "0" 16737-16743 rgb_led_ws281x: bit: "1" @@ -1997,6 +2234,9 @@ 16860-16866 rgb_led_ws281x: bit: "1" 16866-16872 rgb_led_ws281x: bit: "1" 16872-16879 rgb_led_ws281x: bit: "1" +16731-16780 rgb_led_ws281x: g: "7f" +16780-16829 rgb_led_ws281x: r: "00" +16829-16879 rgb_led_ws281x: b: "f7" 16731-16879 rgb_led_ws281x: rgb: "#007ff7" 16879-16885 rgb_led_ws281x: bit: "1" 16885-16891 rgb_led_ws281x: bit: "1" @@ -2022,6 +2262,9 @@ 17008-17014 rgb_led_ws281x: bit: "1" 17014-17020 rgb_led_ws281x: bit: "1" 17020-17027 rgb_led_ws281x: bit: "1" +16879-16928 rgb_led_ws281x: g: "fd" +16928-16977 rgb_led_ws281x: r: "00" +16977-17027 rgb_led_ws281x: b: "ef" 16879-17027 rgb_led_ws281x: rgb: "#00fdef" 17027-17033 rgb_led_ws281x: bit: "1" 17033-17039 rgb_led_ws281x: bit: "1" @@ -2047,6 +2290,9 @@ 17156-17162 rgb_led_ws281x: bit: "1" 17162-17168 rgb_led_ws281x: bit: "1" 17168-17175 rgb_led_ws281x: bit: "1" +17027-17076 rgb_led_ws281x: g: "fb" +17076-17125 rgb_led_ws281x: r: "00" +17125-17175 rgb_led_ws281x: b: "df" 17027-17175 rgb_led_ws281x: rgb: "#00fbdf" 17175-17181 rgb_led_ws281x: bit: "1" 17181-17187 rgb_led_ws281x: bit: "1" @@ -2072,6 +2318,9 @@ 17304-17310 rgb_led_ws281x: bit: "1" 17310-17316 rgb_led_ws281x: bit: "1" 17316-17323 rgb_led_ws281x: bit: "1" +17175-17224 rgb_led_ws281x: g: "f7" +17224-17273 rgb_led_ws281x: r: "00" +17273-17323 rgb_led_ws281x: b: "bf" 17175-17323 rgb_led_ws281x: rgb: "#00f7bf" 17323-17329 rgb_led_ws281x: bit: "1" 17329-17335 rgb_led_ws281x: bit: "1" @@ -2097,6 +2346,9 @@ 17452-17458 rgb_led_ws281x: bit: "1" 17458-17465 rgb_led_ws281x: bit: "1" 17465-17471 rgb_led_ws281x: bit: "0" +17323-17372 rgb_led_ws281x: g: "ef" +17372-17422 rgb_led_ws281x: r: "00" +17422-17471 rgb_led_ws281x: b: "7e" 17323-17471 rgb_led_ws281x: rgb: "#00ef7e" 17471-17477 rgb_led_ws281x: bit: "1" 17477-17483 rgb_led_ws281x: bit: "1" @@ -2122,6 +2374,9 @@ 17600-17607 rgb_led_ws281x: bit: "1" 17607-17613 rgb_led_ws281x: bit: "0" 17613-17619 rgb_led_ws281x: bit: "1" +17471-17520 rgb_led_ws281x: g: "ff" +17520-17570 rgb_led_ws281x: r: "00" +17570-17619 rgb_led_ws281x: b: "7d" 17471-17619 rgb_led_ws281x: rgb: "#00ff7d" 17619-17625 rgb_led_ws281x: bit: "1" 17625-17632 rgb_led_ws281x: bit: "1" @@ -2147,6 +2402,9 @@ 17749-17755 rgb_led_ws281x: bit: "0" 17755-17761 rgb_led_ws281x: bit: "1" 17761-17767 rgb_led_ws281x: bit: "1" +17619-17669 rgb_led_ws281x: g: "df" +17669-17718 rgb_led_ws281x: r: "00" +17718-17767 rgb_led_ws281x: b: "fb" 17619-17767 rgb_led_ws281x: rgb: "#00dffb" 17767-17774 rgb_led_ws281x: bit: "1" 17774-17780 rgb_led_ws281x: bit: "0" @@ -2172,6 +2430,9 @@ 17897-17903 rgb_led_ws281x: bit: "1" 17903-17909 rgb_led_ws281x: bit: "1" 17909-17916 rgb_led_ws281x: bit: "1" +17767-17817 rgb_led_ws281x: g: "be" +17817-17866 rgb_led_ws281x: r: "00" +17866-17916 rgb_led_ws281x: b: "ff" 17767-17916 rgb_led_ws281x: rgb: "#00beff" 17916-17922 rgb_led_ws281x: bit: "0" 17922-17928 rgb_led_ws281x: bit: "1" @@ -2197,6 +2458,9 @@ 18045-18051 rgb_led_ws281x: bit: "1" 18051-18057 rgb_led_ws281x: bit: "1" 18057-18064 rgb_led_ws281x: bit: "1" +17916-17965 rgb_led_ws281x: g: "7f" +17965-18014 rgb_led_ws281x: r: "00" +18014-18064 rgb_led_ws281x: b: "f7" 17916-18064 rgb_led_ws281x: rgb: "#007ff7" 18064-18070 rgb_led_ws281x: bit: "1" 18070-18076 rgb_led_ws281x: bit: "1" @@ -2222,6 +2486,9 @@ 18193-18199 rgb_led_ws281x: bit: "1" 18199-18205 rgb_led_ws281x: bit: "1" 18205-18212 rgb_led_ws281x: bit: "1" +18064-18113 rgb_led_ws281x: g: "ff" +18113-18162 rgb_led_ws281x: r: "00" +18162-18212 rgb_led_ws281x: b: "ef" 18064-18212 rgb_led_ws281x: rgb: "#00ffef" 18212-18218 rgb_led_ws281x: bit: "1" 18218-18224 rgb_led_ws281x: bit: "1" @@ -2247,6 +2514,9 @@ 18341-18347 rgb_led_ws281x: bit: "1" 18347-18353 rgb_led_ws281x: bit: "1" 18353-18360 rgb_led_ws281x: bit: "1" +18212-18261 rgb_led_ws281x: g: "fb" +18261-18310 rgb_led_ws281x: r: "00" +18310-18360 rgb_led_ws281x: b: "df" 18212-18360 rgb_led_ws281x: rgb: "#00fbdf" 18360-18366 rgb_led_ws281x: bit: "1" 18366-18372 rgb_led_ws281x: bit: "1" @@ -2272,6 +2542,9 @@ 18489-18495 rgb_led_ws281x: bit: "1" 18495-18501 rgb_led_ws281x: bit: "1" 18501-18508 rgb_led_ws281x: bit: "1" +18360-18409 rgb_led_ws281x: g: "f7" +18409-18458 rgb_led_ws281x: r: "00" +18458-18508 rgb_led_ws281x: b: "bf" 18360-18508 rgb_led_ws281x: rgb: "#00f7bf" 18508-18514 rgb_led_ws281x: bit: "1" 18514-18520 rgb_led_ws281x: bit: "1" @@ -2297,6 +2570,9 @@ 18637-18643 rgb_led_ws281x: bit: "1" 18643-18650 rgb_led_ws281x: bit: "1" 18650-18656 rgb_led_ws281x: bit: "0" +18508-18557 rgb_led_ws281x: g: "ef" +18557-18607 rgb_led_ws281x: r: "00" +18607-18656 rgb_led_ws281x: b: "7e" 18508-18656 rgb_led_ws281x: rgb: "#00ef7e" 18656-18662 rgb_led_ws281x: bit: "1" 18662-18669 rgb_led_ws281x: bit: "1" @@ -2322,6 +2598,9 @@ 18785-18792 rgb_led_ws281x: bit: "1" 18792-18798 rgb_led_ws281x: bit: "0" 18798-18804 rgb_led_ws281x: bit: "1" +18656-18705 rgb_led_ws281x: g: "df" +18705-18755 rgb_led_ws281x: r: "00" +18755-18804 rgb_led_ws281x: b: "fd" 18656-18804 rgb_led_ws281x: rgb: "#00dffd" 18804-18810 rgb_led_ws281x: bit: "1" 18810-18817 rgb_led_ws281x: bit: "1" @@ -2347,6 +2626,9 @@ 18934-18940 rgb_led_ws281x: bit: "0" 18940-18946 rgb_led_ws281x: bit: "1" 18946-18952 rgb_led_ws281x: bit: "1" +18804-18854 rgb_led_ws281x: g: "ff" +18854-18903 rgb_led_ws281x: r: "00" +18903-18952 rgb_led_ws281x: b: "fb" 18804-18952 rgb_led_ws281x: rgb: "#00fffb" 18952-18959 rgb_led_ws281x: bit: "1" 18959-18965 rgb_led_ws281x: bit: "0" @@ -2372,6 +2654,9 @@ 19082-19088 rgb_led_ws281x: bit: "1" 19088-19094 rgb_led_ws281x: bit: "1" 19094-19101 rgb_led_ws281x: bit: "1" +18952-19002 rgb_led_ws281x: g: "be" +19002-19051 rgb_led_ws281x: r: "00" +19051-19101 rgb_led_ws281x: b: "f7" 18952-19101 rgb_led_ws281x: rgb: "#00bef7" 19101-19107 rgb_led_ws281x: bit: "0" 19107-19113 rgb_led_ws281x: bit: "1" @@ -2397,6 +2682,9 @@ 19230-19236 rgb_led_ws281x: bit: "1" 19236-19242 rgb_led_ws281x: bit: "1" 19242-19249 rgb_led_ws281x: bit: "1" +19101-19150 rgb_led_ws281x: g: "7f" +19150-19199 rgb_led_ws281x: r: "00" +19199-19249 rgb_led_ws281x: b: "ff" 19101-19249 rgb_led_ws281x: rgb: "#007fff" 19249-19255 rgb_led_ws281x: bit: "1" 19255-19261 rgb_led_ws281x: bit: "1" @@ -2422,6 +2710,9 @@ 19378-19384 rgb_led_ws281x: bit: "1" 19384-19390 rgb_led_ws281x: bit: "1" 19390-19397 rgb_led_ws281x: bit: "1" +19249-19298 rgb_led_ws281x: g: "ff" +19298-19347 rgb_led_ws281x: r: "00" +19347-19397 rgb_led_ws281x: b: "ef" 19249-19397 rgb_led_ws281x: rgb: "#00ffef" 19397-19403 rgb_led_ws281x: bit: "1" 19403-19409 rgb_led_ws281x: bit: "1" @@ -2447,6 +2738,9 @@ 19526-19532 rgb_led_ws281x: bit: "1" 19532-19538 rgb_led_ws281x: bit: "1" 19538-19545 rgb_led_ws281x: bit: "1" +19397-19446 rgb_led_ws281x: g: "fb" +19446-19495 rgb_led_ws281x: r: "00" +19495-19545 rgb_led_ws281x: b: "df" 19397-19545 rgb_led_ws281x: rgb: "#00fbdf" 19545-19551 rgb_led_ws281x: bit: "1" 19551-19557 rgb_led_ws281x: bit: "1" @@ -2472,6 +2766,9 @@ 19674-19680 rgb_led_ws281x: bit: "1" 19680-19686 rgb_led_ws281x: bit: "1" 19686-19693 rgb_led_ws281x: bit: "1" +19545-19594 rgb_led_ws281x: g: "f7" +19594-19643 rgb_led_ws281x: r: "00" +19643-19693 rgb_led_ws281x: b: "bf" 19545-19693 rgb_led_ws281x: rgb: "#00f7bf" 19693-19699 rgb_led_ws281x: bit: "1" 19699-19705 rgb_led_ws281x: bit: "1" @@ -2497,6 +2794,9 @@ 19822-19828 rgb_led_ws281x: bit: "1" 19828-19835 rgb_led_ws281x: bit: "1" 19835-19841 rgb_led_ws281x: bit: "0" +19693-19742 rgb_led_ws281x: g: "ef" +19742-19792 rgb_led_ws281x: r: "00" +19792-19841 rgb_led_ws281x: b: "7e" 19693-19841 rgb_led_ws281x: rgb: "#00ef7e" 19841-19847 rgb_led_ws281x: bit: "1" 19847-19854 rgb_led_ws281x: bit: "1" @@ -2522,6 +2822,9 @@ 19970-19977 rgb_led_ws281x: bit: "1" 19977-19983 rgb_led_ws281x: bit: "0" 19983-19989 rgb_led_ws281x: bit: "1" +19841-19890 rgb_led_ws281x: g: "df" +19890-19940 rgb_led_ws281x: r: "00" +19940-19989 rgb_led_ws281x: b: "fd" 19841-19989 rgb_led_ws281x: rgb: "#00dffd" 19989-19995 rgb_led_ws281x: bit: "1" 19995-20002 rgb_led_ws281x: bit: "1" @@ -2547,6 +2850,9 @@ 20119-20125 rgb_led_ws281x: bit: "0" 20125-20131 rgb_led_ws281x: bit: "1" 20131-20137 rgb_led_ws281x: bit: "1" +19989-20039 rgb_led_ws281x: g: "ff" +20039-20088 rgb_led_ws281x: r: "00" +20088-20137 rgb_led_ws281x: b: "fb" 19989-20137 rgb_led_ws281x: rgb: "#00fffb" 20137-20144 rgb_led_ws281x: bit: "1" 20144-20150 rgb_led_ws281x: bit: "0" @@ -2572,6 +2878,9 @@ 20267-20273 rgb_led_ws281x: bit: "1" 20273-20279 rgb_led_ws281x: bit: "1" 20279-20286 rgb_led_ws281x: bit: "1" +20137-20187 rgb_led_ws281x: g: "be" +20187-20236 rgb_led_ws281x: r: "00" +20236-20286 rgb_led_ws281x: b: "f7" 20137-20286 rgb_led_ws281x: rgb: "#00bef7" 20286-20292 rgb_led_ws281x: bit: "0" 20292-20298 rgb_led_ws281x: bit: "1" @@ -2597,6 +2906,9 @@ 20415-20421 rgb_led_ws281x: bit: "1" 20421-20427 rgb_led_ws281x: bit: "1" 20427-20434 rgb_led_ws281x: bit: "1" +20286-20335 rgb_led_ws281x: g: "7d" +20335-20384 rgb_led_ws281x: r: "00" +20384-20434 rgb_led_ws281x: b: "ef" 20286-20434 rgb_led_ws281x: rgb: "#007def" 20434-20440 rgb_led_ws281x: bit: "1" 20440-20446 rgb_led_ws281x: bit: "1" @@ -2622,6 +2934,9 @@ 20563-20569 rgb_led_ws281x: bit: "1" 20569-20575 rgb_led_ws281x: bit: "1" 20575-20582 rgb_led_ws281x: bit: "1" +20434-20483 rgb_led_ws281x: g: "ff" +20483-20532 rgb_led_ws281x: r: "00" +20532-20582 rgb_led_ws281x: b: "ef" 20434-20582 rgb_led_ws281x: rgb: "#00ffef" 20582-20588 rgb_led_ws281x: bit: "1" 20588-20594 rgb_led_ws281x: bit: "1" @@ -2647,6 +2962,9 @@ 20711-20717 rgb_led_ws281x: bit: "1" 20717-20723 rgb_led_ws281x: bit: "1" 20723-20730 rgb_led_ws281x: bit: "1" +20582-20631 rgb_led_ws281x: g: "ff" +20631-20680 rgb_led_ws281x: r: "00" +20680-20730 rgb_led_ws281x: b: "df" 20582-20730 rgb_led_ws281x: rgb: "#00ffdf" 20730-20736 rgb_led_ws281x: bit: "1" 20736-20742 rgb_led_ws281x: bit: "1" @@ -2672,6 +2990,9 @@ 20859-20865 rgb_led_ws281x: bit: "1" 20865-20872 rgb_led_ws281x: bit: "1" 20872-20878 rgb_led_ws281x: bit: "0" +20730-20779 rgb_led_ws281x: g: "f7" +20779-20828 rgb_led_ws281x: r: "00" +20828-20878 rgb_led_ws281x: b: "be" 20730-20878 rgb_led_ws281x: rgb: "#00f7be" 20878-20884 rgb_led_ws281x: bit: "1" 20884-20890 rgb_led_ws281x: bit: "1" @@ -2697,6 +3018,9 @@ 21007-21013 rgb_led_ws281x: bit: "1" 21013-21020 rgb_led_ws281x: bit: "1" 21020-21026 rgb_led_ws281x: bit: "0" +20878-20927 rgb_led_ws281x: g: "ef" +20927-20977 rgb_led_ws281x: r: "00" +20977-21026 rgb_led_ws281x: b: "7e" 20878-21026 rgb_led_ws281x: rgb: "#00ef7e" 21026-21032 rgb_led_ws281x: bit: "1" 21032-21039 rgb_led_ws281x: bit: "1" @@ -2722,6 +3046,9 @@ 21155-21162 rgb_led_ws281x: bit: "1" 21162-21168 rgb_led_ws281x: bit: "0" 21168-21174 rgb_led_ws281x: bit: "1" +21026-21075 rgb_led_ws281x: g: "df" +21075-21125 rgb_led_ws281x: r: "00" +21125-21174 rgb_led_ws281x: b: "fd" 21026-21174 rgb_led_ws281x: rgb: "#00dffd" 21174-21181 rgb_led_ws281x: bit: "1" 21181-21187 rgb_led_ws281x: bit: "0" @@ -2747,6 +3074,9 @@ 21304-21310 rgb_led_ws281x: bit: "0" 21310-21316 rgb_led_ws281x: bit: "1" 21316-21322 rgb_led_ws281x: bit: "1" +21174-21224 rgb_led_ws281x: g: "bf" +21224-21273 rgb_led_ws281x: r: "00" +21273-21322 rgb_led_ws281x: b: "fb" 21174-21322 rgb_led_ws281x: rgb: "#00bffb" 21322-21329 rgb_led_ws281x: bit: "1" 21329-21335 rgb_led_ws281x: bit: "1" @@ -2772,6 +3102,9 @@ 21452-21458 rgb_led_ws281x: bit: "1" 21458-21464 rgb_led_ws281x: bit: "1" 21464-21471 rgb_led_ws281x: bit: "1" +21322-21372 rgb_led_ws281x: g: "fe" +21372-21421 rgb_led_ws281x: r: "00" +21421-21471 rgb_led_ws281x: b: "f7" 21322-21471 rgb_led_ws281x: rgb: "#00fef7" 21471-21477 rgb_led_ws281x: bit: "0" 21477-21483 rgb_led_ws281x: bit: "1" @@ -2797,6 +3130,9 @@ 21600-21606 rgb_led_ws281x: bit: "1" 21606-21612 rgb_led_ws281x: bit: "1" 21612-21619 rgb_led_ws281x: bit: "1" +21471-21520 rgb_led_ws281x: g: "7d" +21520-21569 rgb_led_ws281x: r: "00" +21569-21619 rgb_led_ws281x: b: "ef" 21471-21619 rgb_led_ws281x: rgb: "#007def" 21619-21625 rgb_led_ws281x: bit: "1" 21625-21631 rgb_led_ws281x: bit: "1" @@ -2822,6 +3158,9 @@ 21748-21754 rgb_led_ws281x: bit: "1" 21754-21760 rgb_led_ws281x: bit: "1" 21760-21767 rgb_led_ws281x: bit: "1" +21619-21668 rgb_led_ws281x: g: "ff" +21668-21717 rgb_led_ws281x: r: "00" +21717-21767 rgb_led_ws281x: b: "ef" 21619-21767 rgb_led_ws281x: rgb: "#00ffef" 21767-21773 rgb_led_ws281x: bit: "1" 21773-21779 rgb_led_ws281x: bit: "1" @@ -2847,6 +3186,9 @@ 21896-21902 rgb_led_ws281x: bit: "1" 21902-21908 rgb_led_ws281x: bit: "1" 21908-21915 rgb_led_ws281x: bit: "1" +21767-21816 rgb_led_ws281x: g: "ff" +21816-21865 rgb_led_ws281x: r: "00" +21865-21915 rgb_led_ws281x: b: "df" 21767-21915 rgb_led_ws281x: rgb: "#00ffdf" 21915-21921 rgb_led_ws281x: bit: "1" 21921-21927 rgb_led_ws281x: bit: "1" @@ -2872,6 +3214,9 @@ 22044-22050 rgb_led_ws281x: bit: "1" 22050-22057 rgb_led_ws281x: bit: "1" 22057-22063 rgb_led_ws281x: bit: "0" +21915-21964 rgb_led_ws281x: g: "f7" +21964-22013 rgb_led_ws281x: r: "00" +22013-22063 rgb_led_ws281x: b: "be" 21915-22063 rgb_led_ws281x: rgb: "#00f7be" 22063-22069 rgb_led_ws281x: bit: "1" 22069-22075 rgb_led_ws281x: bit: "1" @@ -2897,6 +3242,9 @@ 22192-22198 rgb_led_ws281x: bit: "1" 22198-22205 rgb_led_ws281x: bit: "1" 22205-22211 rgb_led_ws281x: bit: "1" +22063-22112 rgb_led_ws281x: g: "ef" +22112-22162 rgb_led_ws281x: r: "00" +22162-22211 rgb_led_ws281x: b: "7f" 22063-22211 rgb_led_ws281x: rgb: "#00ef7f" 22211-22217 rgb_led_ws281x: bit: "1" 22217-22224 rgb_led_ws281x: bit: "1" @@ -2922,6 +3270,9 @@ 22340-22347 rgb_led_ws281x: bit: "1" 22347-22353 rgb_led_ws281x: bit: "0" 22353-22359 rgb_led_ws281x: bit: "1" +22211-22260 rgb_led_ws281x: g: "df" +22260-22310 rgb_led_ws281x: r: "00" +22310-22359 rgb_led_ws281x: b: "fd" 22211-22359 rgb_led_ws281x: rgb: "#00dffd" 22359-22366 rgb_led_ws281x: bit: "1" 22366-22372 rgb_led_ws281x: bit: "0" @@ -2947,6 +3298,9 @@ 22489-22495 rgb_led_ws281x: bit: "0" 22495-22501 rgb_led_ws281x: bit: "1" 22501-22507 rgb_led_ws281x: bit: "1" +22359-22409 rgb_led_ws281x: g: "bf" +22409-22458 rgb_led_ws281x: r: "00" +22458-22507 rgb_led_ws281x: b: "fb" 22359-22507 rgb_led_ws281x: rgb: "#00bffb" 22507-22514 rgb_led_ws281x: bit: "1" 22514-22520 rgb_led_ws281x: bit: "1" @@ -2972,6 +3326,9 @@ 22637-22643 rgb_led_ws281x: bit: "1" 22643-22649 rgb_led_ws281x: bit: "1" 22649-22656 rgb_led_ws281x: bit: "1" +22507-22557 rgb_led_ws281x: g: "fe" +22557-22606 rgb_led_ws281x: r: "00" +22606-22656 rgb_led_ws281x: b: "f7" 22507-22656 rgb_led_ws281x: rgb: "#00fef7" 22656-22662 rgb_led_ws281x: bit: "1" 22662-22668 rgb_led_ws281x: bit: "1" @@ -2997,6 +3354,9 @@ 22785-22791 rgb_led_ws281x: bit: "1" 22791-22797 rgb_led_ws281x: bit: "1" 22797-22804 rgb_led_ws281x: bit: "1" +22656-22705 rgb_led_ws281x: g: "fd" +22705-22754 rgb_led_ws281x: r: "00" +22754-22804 rgb_led_ws281x: b: "ef" 22656-22804 rgb_led_ws281x: rgb: "#00fdef" 22804-22810 rgb_led_ws281x: bit: "1" 22810-22816 rgb_led_ws281x: bit: "1" @@ -3022,6 +3382,9 @@ 22933-22939 rgb_led_ws281x: bit: "1" 22939-22945 rgb_led_ws281x: bit: "1" 22945-22952 rgb_led_ws281x: bit: "1" +22804-22853 rgb_led_ws281x: g: "fb" +22853-22902 rgb_led_ws281x: r: "00" +22902-22952 rgb_led_ws281x: b: "df" 22804-22952 rgb_led_ws281x: rgb: "#00fbdf" 22952-22958 rgb_led_ws281x: bit: "1" 22958-22964 rgb_led_ws281x: bit: "1" @@ -3047,6 +3410,9 @@ 23081-23087 rgb_led_ws281x: bit: "1" 23087-23093 rgb_led_ws281x: bit: "1" 23093-23100 rgb_led_ws281x: bit: "1" +22952-23001 rgb_led_ws281x: g: "ff" +23001-23050 rgb_led_ws281x: r: "00" +23050-23100 rgb_led_ws281x: b: "df" 22952-23100 rgb_led_ws281x: rgb: "#00ffdf" 23100-23106 rgb_led_ws281x: bit: "1" 23106-23112 rgb_led_ws281x: bit: "1" @@ -3072,6 +3438,9 @@ 23229-23235 rgb_led_ws281x: bit: "1" 23235-23242 rgb_led_ws281x: bit: "1" 23242-23248 rgb_led_ws281x: bit: "0" +23100-23149 rgb_led_ws281x: g: "f7" +23149-23198 rgb_led_ws281x: r: "00" +23198-23248 rgb_led_ws281x: b: "be" 23100-23248 rgb_led_ws281x: rgb: "#00f7be" 23248-23254 rgb_led_ws281x: bit: "1" 23254-23260 rgb_led_ws281x: bit: "1" @@ -3097,6 +3466,9 @@ 23377-23384 rgb_led_ws281x: bit: "1" 23384-23390 rgb_led_ws281x: bit: "0" 23390-23396 rgb_led_ws281x: bit: "1" +23248-23297 rgb_led_ws281x: g: "ef" +23297-23347 rgb_led_ws281x: r: "00" +23347-23396 rgb_led_ws281x: b: "7d" 23248-23396 rgb_led_ws281x: rgb: "#00ef7d" 23396-23402 rgb_led_ws281x: bit: "1" 23402-23409 rgb_led_ws281x: bit: "1" @@ -3122,6 +3494,9 @@ 23525-23532 rgb_led_ws281x: bit: "1" 23532-23538 rgb_led_ws281x: bit: "1" 23538-23544 rgb_led_ws281x: bit: "1" +23396-23445 rgb_led_ws281x: g: "df" +23445-23495 rgb_led_ws281x: r: "00" +23495-23544 rgb_led_ws281x: b: "ff" 23396-23544 rgb_led_ws281x: rgb: "#00dfff" 23544-23551 rgb_led_ws281x: bit: "1" 23551-23557 rgb_led_ws281x: bit: "0" @@ -3147,6 +3522,9 @@ 23674-23680 rgb_led_ws281x: bit: "0" 23680-23686 rgb_led_ws281x: bit: "1" 23686-23693 rgb_led_ws281x: bit: "1" +23544-23594 rgb_led_ws281x: g: "bf" +23594-23643 rgb_led_ws281x: r: "00" +23643-23693 rgb_led_ws281x: b: "fb" 23544-23693 rgb_led_ws281x: rgb: "#00bffb" 23693-23699 rgb_led_ws281x: bit: "0" 23699-23705 rgb_led_ws281x: bit: "1" @@ -3172,6 +3550,9 @@ 23822-23828 rgb_led_ws281x: bit: "1" 23828-23834 rgb_led_ws281x: bit: "1" 23834-23841 rgb_led_ws281x: bit: "1" +23693-23742 rgb_led_ws281x: g: "7e" +23742-23791 rgb_led_ws281x: r: "00" +23791-23841 rgb_led_ws281x: b: "f7" 23693-23841 rgb_led_ws281x: rgb: "#007ef7" 23841-23847 rgb_led_ws281x: bit: "1" 23847-23853 rgb_led_ws281x: bit: "1" @@ -3197,6 +3578,9 @@ 23970-23976 rgb_led_ws281x: bit: "1" 23976-23982 rgb_led_ws281x: bit: "1" 23982-23989 rgb_led_ws281x: bit: "1" +23841-23890 rgb_led_ws281x: g: "fd" +23890-23939 rgb_led_ws281x: r: "00" +23939-23989 rgb_led_ws281x: b: "ef" 23841-23989 rgb_led_ws281x: rgb: "#00fdef" 23989-23995 rgb_led_ws281x: bit: "1" 23995-24001 rgb_led_ws281x: bit: "1" @@ -3222,6 +3606,9 @@ 24118-24124 rgb_led_ws281x: bit: "1" 24124-24130 rgb_led_ws281x: bit: "1" 24130-24137 rgb_led_ws281x: bit: "1" +23989-24038 rgb_led_ws281x: g: "fb" +24038-24087 rgb_led_ws281x: r: "00" +24087-24137 rgb_led_ws281x: b: "df" 23989-24137 rgb_led_ws281x: rgb: "#00fbdf" 24137-24143 rgb_led_ws281x: bit: "1" 24143-24149 rgb_led_ws281x: bit: "1" @@ -3247,6 +3634,9 @@ 24266-24272 rgb_led_ws281x: bit: "1" 24272-24278 rgb_led_ws281x: bit: "1" 24278-24285 rgb_led_ws281x: bit: "1" +24137-24186 rgb_led_ws281x: g: "ff" +24186-24235 rgb_led_ws281x: r: "00" +24235-24285 rgb_led_ws281x: b: "ff" 24137-24285 rgb_led_ws281x: rgb: "#00ffff" 24285-24291 rgb_led_ws281x: bit: "1" 24291-24297 rgb_led_ws281x: bit: "1" @@ -3272,6 +3662,9 @@ 24414-24420 rgb_led_ws281x: bit: "1" 24420-24427 rgb_led_ws281x: bit: "1" 24427-24433 rgb_led_ws281x: bit: "0" +24285-24334 rgb_led_ws281x: g: "ff" +24334-24383 rgb_led_ws281x: r: "00" +24383-24433 rgb_led_ws281x: b: "be" 24285-24433 rgb_led_ws281x: rgb: "#00ffbe" 24433-24439 rgb_led_ws281x: bit: "1" 24439-24445 rgb_led_ws281x: bit: "1" @@ -3297,6 +3690,9 @@ 24562-24569 rgb_led_ws281x: bit: "1" 24569-24575 rgb_led_ws281x: bit: "0" 24575-24581 rgb_led_ws281x: bit: "1" +24433-24482 rgb_led_ws281x: g: "ef" +24482-24532 rgb_led_ws281x: r: "00" +24532-24581 rgb_led_ws281x: b: "7d" 24433-24581 rgb_led_ws281x: rgb: "#00ef7d" 24581-24587 rgb_led_ws281x: bit: "1" 24587-24594 rgb_led_ws281x: bit: "1" @@ -3322,6 +3718,9 @@ 24710-24717 rgb_led_ws281x: bit: "1" 24717-24723 rgb_led_ws281x: bit: "1" 24723-24729 rgb_led_ws281x: bit: "1" +24581-24630 rgb_led_ws281x: g: "df" +24630-24680 rgb_led_ws281x: r: "00" +24680-24729 rgb_led_ws281x: b: "ff" 24581-24729 rgb_led_ws281x: rgb: "#00dfff" 24729-24736 rgb_led_ws281x: bit: "1" 24736-24742 rgb_led_ws281x: bit: "0" @@ -3347,6 +3746,9 @@ 24859-24865 rgb_led_ws281x: bit: "1" 24865-24871 rgb_led_ws281x: bit: "1" 24871-24878 rgb_led_ws281x: bit: "1" +24729-24779 rgb_led_ws281x: g: "bf" +24779-24828 rgb_led_ws281x: r: "00" +24828-24878 rgb_led_ws281x: b: "ff" 24729-24878 rgb_led_ws281x: rgb: "#00bfff" 24878-24884 rgb_led_ws281x: bit: "0" 24884-24890 rgb_led_ws281x: bit: "1" @@ -3372,6 +3774,9 @@ 25007-25013 rgb_led_ws281x: bit: "1" 25013-25019 rgb_led_ws281x: bit: "1" 25019-25026 rgb_led_ws281x: bit: "1" +24878-24927 rgb_led_ws281x: g: "7e" +24927-24976 rgb_led_ws281x: r: "00" +24976-25026 rgb_led_ws281x: b: "f7" 24878-25026 rgb_led_ws281x: rgb: "#007ef7" 25026-25032 rgb_led_ws281x: bit: "1" 25032-25038 rgb_led_ws281x: bit: "1" @@ -3397,6 +3802,9 @@ 25155-25161 rgb_led_ws281x: bit: "1" 25161-25167 rgb_led_ws281x: bit: "1" 25167-25174 rgb_led_ws281x: bit: "1" +25026-25075 rgb_led_ws281x: g: "fd" +25075-25124 rgb_led_ws281x: r: "00" +25124-25174 rgb_led_ws281x: b: "ef" 25026-25174 rgb_led_ws281x: rgb: "#00fdef" 25174-25180 rgb_led_ws281x: bit: "1" 25180-25186 rgb_led_ws281x: bit: "1" @@ -3422,6 +3830,9 @@ 25303-25309 rgb_led_ws281x: bit: "1" 25309-25315 rgb_led_ws281x: bit: "1" 25315-25322 rgb_led_ws281x: bit: "1" +25174-25223 rgb_led_ws281x: g: "fb" +25223-25272 rgb_led_ws281x: r: "00" +25272-25322 rgb_led_ws281x: b: "df" 25174-25322 rgb_led_ws281x: rgb: "#00fbdf" 25322-25328 rgb_led_ws281x: bit: "1" 25328-25334 rgb_led_ws281x: bit: "1" @@ -3447,6 +3858,9 @@ 25451-25457 rgb_led_ws281x: bit: "1" 25457-25463 rgb_led_ws281x: bit: "1" 25463-25470 rgb_led_ws281x: bit: "1" +25322-25371 rgb_led_ws281x: g: "f7" +25371-25420 rgb_led_ws281x: r: "00" +25420-25470 rgb_led_ws281x: b: "bf" 25322-25470 rgb_led_ws281x: rgb: "#00f7bf" 25470-25476 rgb_led_ws281x: bit: "1" 25476-25482 rgb_led_ws281x: bit: "1" @@ -3472,6 +3886,9 @@ 25599-25605 rgb_led_ws281x: bit: "1" 25605-25612 rgb_led_ws281x: bit: "1" 25612-25618 rgb_led_ws281x: bit: "0" +25470-25519 rgb_led_ws281x: g: "ff" +25519-25568 rgb_led_ws281x: r: "00" +25568-25618 rgb_led_ws281x: b: "fe" 25470-25618 rgb_led_ws281x: rgb: "#00fffe" 25618-25624 rgb_led_ws281x: bit: "1" 25624-25630 rgb_led_ws281x: bit: "1" @@ -3497,6 +3914,9 @@ 25747-25754 rgb_led_ws281x: bit: "1" 25754-25760 rgb_led_ws281x: bit: "0" 25760-25766 rgb_led_ws281x: bit: "1" +25618-25667 rgb_led_ws281x: g: "ef" +25667-25717 rgb_led_ws281x: r: "00" +25717-25766 rgb_led_ws281x: b: "7d" 25618-25766 rgb_led_ws281x: rgb: "#00ef7d" 25766-25772 rgb_led_ws281x: bit: "1" 25772-25779 rgb_led_ws281x: bit: "1" @@ -3522,6 +3942,9 @@ 25895-25902 rgb_led_ws281x: bit: "1" 25902-25908 rgb_led_ws281x: bit: "1" 25908-25914 rgb_led_ws281x: bit: "1" +25766-25816 rgb_led_ws281x: g: "df" +25816-25865 rgb_led_ws281x: r: "00" +25865-25914 rgb_led_ws281x: b: "ff" 25766-25914 rgb_led_ws281x: rgb: "#00dfff" 25914-25921 rgb_led_ws281x: bit: "1" 25921-25927 rgb_led_ws281x: bit: "0" @@ -3547,6 +3970,9 @@ 26044-26050 rgb_led_ws281x: bit: "1" 26050-26056 rgb_led_ws281x: bit: "1" 26056-26063 rgb_led_ws281x: bit: "1" +25914-25964 rgb_led_ws281x: g: "be" +25964-26013 rgb_led_ws281x: r: "00" +26013-26063 rgb_led_ws281x: b: "ff" 25914-26063 rgb_led_ws281x: rgb: "#00beff" 26063-26069 rgb_led_ws281x: bit: "0" 26069-26075 rgb_led_ws281x: bit: "1" @@ -3572,6 +3998,9 @@ 26192-26198 rgb_led_ws281x: bit: "1" 26198-26204 rgb_led_ws281x: bit: "1" 26204-26211 rgb_led_ws281x: bit: "1" +26063-26112 rgb_led_ws281x: g: "7f" +26112-26161 rgb_led_ws281x: r: "00" +26161-26211 rgb_led_ws281x: b: "f7" 26063-26211 rgb_led_ws281x: rgb: "#007ff7" 26211-26217 rgb_led_ws281x: bit: "1" 26217-26223 rgb_led_ws281x: bit: "1" @@ -3597,6 +4026,9 @@ 26340-26346 rgb_led_ws281x: bit: "1" 26346-26352 rgb_led_ws281x: bit: "1" 26352-26359 rgb_led_ws281x: bit: "1" +26211-26260 rgb_led_ws281x: g: "fd" +26260-26309 rgb_led_ws281x: r: "00" +26309-26359 rgb_led_ws281x: b: "ef" 26211-26359 rgb_led_ws281x: rgb: "#00fdef" 26359-26365 rgb_led_ws281x: bit: "1" 26365-26371 rgb_led_ws281x: bit: "1" @@ -3622,6 +4054,9 @@ 26488-26494 rgb_led_ws281x: bit: "1" 26494-26500 rgb_led_ws281x: bit: "1" 26500-26507 rgb_led_ws281x: bit: "1" +26359-26408 rgb_led_ws281x: g: "fb" +26408-26457 rgb_led_ws281x: r: "00" +26457-26507 rgb_led_ws281x: b: "df" 26359-26507 rgb_led_ws281x: rgb: "#00fbdf" 26507-26513 rgb_led_ws281x: bit: "1" 26513-26519 rgb_led_ws281x: bit: "1" @@ -3647,6 +4082,9 @@ 26636-26642 rgb_led_ws281x: bit: "1" 26642-26648 rgb_led_ws281x: bit: "1" 26648-26655 rgb_led_ws281x: bit: "1" +26507-26556 rgb_led_ws281x: g: "f7" +26556-26605 rgb_led_ws281x: r: "00" +26605-26655 rgb_led_ws281x: b: "bf" 26507-26655 rgb_led_ws281x: rgb: "#00f7bf" 26655-26661 rgb_led_ws281x: bit: "1" 26661-26667 rgb_led_ws281x: bit: "1" @@ -3672,6 +4110,9 @@ 26784-26790 rgb_led_ws281x: bit: "1" 26790-26797 rgb_led_ws281x: bit: "1" 26797-26803 rgb_led_ws281x: bit: "0" +26655-26704 rgb_led_ws281x: g: "ef" +26704-26754 rgb_led_ws281x: r: "00" +26754-26803 rgb_led_ws281x: b: "7e" 26655-26803 rgb_led_ws281x: rgb: "#00ef7e" 26803-26809 rgb_led_ws281x: bit: "1" 26809-26815 rgb_led_ws281x: bit: "1" @@ -3697,6 +4138,9 @@ 26932-26939 rgb_led_ws281x: bit: "1" 26939-26945 rgb_led_ws281x: bit: "0" 26945-26951 rgb_led_ws281x: bit: "1" +26803-26852 rgb_led_ws281x: g: "ff" +26852-26902 rgb_led_ws281x: r: "00" +26902-26951 rgb_led_ws281x: b: "7d" 26803-26951 rgb_led_ws281x: rgb: "#00ff7d" 26951-26957 rgb_led_ws281x: bit: "1" 26957-26964 rgb_led_ws281x: bit: "1" @@ -3722,6 +4166,9 @@ 27081-27087 rgb_led_ws281x: bit: "0" 27087-27093 rgb_led_ws281x: bit: "1" 27093-27099 rgb_led_ws281x: bit: "1" +26951-27001 rgb_led_ws281x: g: "df" +27001-27050 rgb_led_ws281x: r: "00" +27050-27099 rgb_led_ws281x: b: "fb" 26951-27099 rgb_led_ws281x: rgb: "#00dffb" 27099-27106 rgb_led_ws281x: bit: "1" 27106-27112 rgb_led_ws281x: bit: "0" @@ -3747,6 +4194,9 @@ 27229-27235 rgb_led_ws281x: bit: "1" 27235-27241 rgb_led_ws281x: bit: "1" 27241-27248 rgb_led_ws281x: bit: "1" +27099-27149 rgb_led_ws281x: g: "be" +27149-27198 rgb_led_ws281x: r: "00" +27198-27248 rgb_led_ws281x: b: "ff" 27099-27248 rgb_led_ws281x: rgb: "#00beff" 27248-27254 rgb_led_ws281x: bit: "0" 27254-27260 rgb_led_ws281x: bit: "1" @@ -3772,6 +4222,9 @@ 27377-27383 rgb_led_ws281x: bit: "1" 27383-27389 rgb_led_ws281x: bit: "1" 27389-27396 rgb_led_ws281x: bit: "1" +27248-27297 rgb_led_ws281x: g: "7f" +27297-27346 rgb_led_ws281x: r: "00" +27346-27396 rgb_led_ws281x: b: "f7" 27248-27396 rgb_led_ws281x: rgb: "#007ff7" 27396-27402 rgb_led_ws281x: bit: "1" 27402-27408 rgb_led_ws281x: bit: "1" @@ -3797,6 +4250,9 @@ 27525-27531 rgb_led_ws281x: bit: "1" 27531-27537 rgb_led_ws281x: bit: "1" 27537-27544 rgb_led_ws281x: bit: "1" +27396-27445 rgb_led_ws281x: g: "fd" +27445-27494 rgb_led_ws281x: r: "00" +27494-27544 rgb_led_ws281x: b: "ef" 27396-27544 rgb_led_ws281x: rgb: "#00fdef" 27544-27550 rgb_led_ws281x: bit: "1" 27550-27556 rgb_led_ws281x: bit: "1" @@ -3822,6 +4278,9 @@ 27673-27679 rgb_led_ws281x: bit: "1" 27679-27685 rgb_led_ws281x: bit: "1" 27685-27692 rgb_led_ws281x: bit: "1" +27544-27593 rgb_led_ws281x: g: "fb" +27593-27642 rgb_led_ws281x: r: "00" +27642-27692 rgb_led_ws281x: b: "df" 27544-27692 rgb_led_ws281x: rgb: "#00fbdf" 27692-27698 rgb_led_ws281x: bit: "1" 27698-27704 rgb_led_ws281x: bit: "1" @@ -3847,6 +4306,9 @@ 27821-27827 rgb_led_ws281x: bit: "1" 27827-27833 rgb_led_ws281x: bit: "1" 27833-27840 rgb_led_ws281x: bit: "1" +27692-27741 rgb_led_ws281x: g: "f7" +27741-27790 rgb_led_ws281x: r: "00" +27790-27840 rgb_led_ws281x: b: "bf" 27692-27840 rgb_led_ws281x: rgb: "#00f7bf" 27840-27846 rgb_led_ws281x: bit: "1" 27846-27852 rgb_led_ws281x: bit: "1" @@ -3872,6 +4334,9 @@ 27969-27975 rgb_led_ws281x: bit: "1" 27975-27982 rgb_led_ws281x: bit: "1" 27982-27988 rgb_led_ws281x: bit: "0" +27840-27889 rgb_led_ws281x: g: "ef" +27889-27939 rgb_led_ws281x: r: "00" +27939-27988 rgb_led_ws281x: b: "7e" 27840-27988 rgb_led_ws281x: rgb: "#00ef7e" 27988-27994 rgb_led_ws281x: bit: "1" 27994-28000 rgb_led_ws281x: bit: "1" @@ -3897,6 +4362,9 @@ 28117-28124 rgb_led_ws281x: bit: "1" 28124-28130 rgb_led_ws281x: bit: "0" 28130-28136 rgb_led_ws281x: bit: "1" +27988-28037 rgb_led_ws281x: g: "ff" +28037-28087 rgb_led_ws281x: r: "00" +28087-28136 rgb_led_ws281x: b: "fd" 27988-28136 rgb_led_ws281x: rgb: "#00fffd" 28136-28142 rgb_led_ws281x: bit: "1" 28142-28149 rgb_led_ws281x: bit: "1" @@ -3922,6 +4390,9 @@ 28266-28272 rgb_led_ws281x: bit: "0" 28272-28278 rgb_led_ws281x: bit: "1" 28278-28284 rgb_led_ws281x: bit: "1" +28136-28186 rgb_led_ws281x: g: "ff" +28186-28235 rgb_led_ws281x: r: "00" +28235-28284 rgb_led_ws281x: b: "fb" 28136-28284 rgb_led_ws281x: rgb: "#00fffb" 28284-28291 rgb_led_ws281x: bit: "1" 28291-28297 rgb_led_ws281x: bit: "0" @@ -3947,6 +4418,9 @@ 28414-28420 rgb_led_ws281x: bit: "1" 28420-28426 rgb_led_ws281x: bit: "1" 28426-28433 rgb_led_ws281x: bit: "1" +28284-28334 rgb_led_ws281x: g: "be" +28334-28383 rgb_led_ws281x: r: "00" +28383-28433 rgb_led_ws281x: b: "ff" 28284-28433 rgb_led_ws281x: rgb: "#00beff" 28433-28439 rgb_led_ws281x: bit: "0" 28439-28445 rgb_led_ws281x: bit: "1" @@ -3972,6 +4446,9 @@ 28562-28568 rgb_led_ws281x: bit: "1" 28568-28574 rgb_led_ws281x: bit: "1" 28574-28581 rgb_led_ws281x: bit: "1" +28433-28482 rgb_led_ws281x: g: "7d" +28482-28531 rgb_led_ws281x: r: "00" +28531-28581 rgb_led_ws281x: b: "f7" 28433-28581 rgb_led_ws281x: rgb: "#007df7" 28581-28587 rgb_led_ws281x: bit: "1" 28587-28593 rgb_led_ws281x: bit: "1" @@ -3997,6 +4474,9 @@ 28710-28716 rgb_led_ws281x: bit: "1" 28716-28722 rgb_led_ws281x: bit: "1" 28722-28729 rgb_led_ws281x: bit: "1" +28581-28630 rgb_led_ws281x: g: "ff" +28630-28679 rgb_led_ws281x: r: "00" +28679-28729 rgb_led_ws281x: b: "ef" 28581-28729 rgb_led_ws281x: rgb: "#00ffef" 28729-28735 rgb_led_ws281x: bit: "1" 28735-28741 rgb_led_ws281x: bit: "1" @@ -4022,6 +4502,9 @@ 28858-28864 rgb_led_ws281x: bit: "1" 28864-28870 rgb_led_ws281x: bit: "1" 28870-28877 rgb_led_ws281x: bit: "1" +28729-28778 rgb_led_ws281x: g: "fb" +28778-28827 rgb_led_ws281x: r: "00" +28827-28877 rgb_led_ws281x: b: "df" 28729-28877 rgb_led_ws281x: rgb: "#00fbdf" 28877-28883 rgb_led_ws281x: bit: "1" 28883-28889 rgb_led_ws281x: bit: "1" @@ -4047,6 +4530,9 @@ 29006-29012 rgb_led_ws281x: bit: "1" 29012-29018 rgb_led_ws281x: bit: "1" 29018-29025 rgb_led_ws281x: bit: "1" +28877-28926 rgb_led_ws281x: g: "f7" +28926-28975 rgb_led_ws281x: r: "00" +28975-29025 rgb_led_ws281x: b: "bf" 28877-29025 rgb_led_ws281x: rgb: "#00f7bf" 29025-29031 rgb_led_ws281x: bit: "1" 29031-29037 rgb_led_ws281x: bit: "1" @@ -4072,6 +4558,9 @@ 29154-29160 rgb_led_ws281x: bit: "1" 29160-29167 rgb_led_ws281x: bit: "1" 29167-29173 rgb_led_ws281x: bit: "0" +29025-29074 rgb_led_ws281x: g: "ef" +29074-29124 rgb_led_ws281x: r: "00" +29124-29173 rgb_led_ws281x: b: "7e" 29025-29173 rgb_led_ws281x: rgb: "#00ef7e" 29173-29179 rgb_led_ws281x: bit: "1" 29179-29186 rgb_led_ws281x: bit: "1" @@ -4097,6 +4586,9 @@ 29302-29309 rgb_led_ws281x: bit: "1" 29309-29315 rgb_led_ws281x: bit: "0" 29315-29321 rgb_led_ws281x: bit: "1" +29173-29222 rgb_led_ws281x: g: "df" +29222-29272 rgb_led_ws281x: r: "00" +29272-29321 rgb_led_ws281x: b: "fd" 29173-29321 rgb_led_ws281x: rgb: "#00dffd" 29321-29327 rgb_led_ws281x: bit: "1" 29327-29334 rgb_led_ws281x: bit: "1" @@ -4122,6 +4614,9 @@ 29451-29457 rgb_led_ws281x: bit: "0" 29457-29463 rgb_led_ws281x: bit: "1" 29463-29469 rgb_led_ws281x: bit: "1" +29321-29371 rgb_led_ws281x: g: "ff" +29371-29420 rgb_led_ws281x: r: "00" +29420-29469 rgb_led_ws281x: b: "fb" 29321-29469 rgb_led_ws281x: rgb: "#00fffb" 29469-29476 rgb_led_ws281x: bit: "1" 29476-29482 rgb_led_ws281x: bit: "0" @@ -4147,6 +4642,9 @@ 29599-29605 rgb_led_ws281x: bit: "1" 29605-29611 rgb_led_ws281x: bit: "1" 29611-29618 rgb_led_ws281x: bit: "1" +29469-29519 rgb_led_ws281x: g: "be" +29519-29568 rgb_led_ws281x: r: "00" +29568-29618 rgb_led_ws281x: b: "f7" 29469-29618 rgb_led_ws281x: rgb: "#00bef7" 29618-29624 rgb_led_ws281x: bit: "0" 29624-29630 rgb_led_ws281x: bit: "1" @@ -4172,6 +4670,9 @@ 29747-29753 rgb_led_ws281x: bit: "1" 29753-29759 rgb_led_ws281x: bit: "1" 29759-29766 rgb_led_ws281x: bit: "1" +29618-29667 rgb_led_ws281x: g: "7d" +29667-29716 rgb_led_ws281x: r: "00" +29716-29766 rgb_led_ws281x: b: "ff" 29618-29766 rgb_led_ws281x: rgb: "#007dff" 29766-29772 rgb_led_ws281x: bit: "1" 29772-29778 rgb_led_ws281x: bit: "1" @@ -4197,6 +4698,9 @@ 29895-29901 rgb_led_ws281x: bit: "1" 29901-29907 rgb_led_ws281x: bit: "1" 29907-29914 rgb_led_ws281x: bit: "1" +29766-29815 rgb_led_ws281x: g: "ff" +29815-29864 rgb_led_ws281x: r: "00" +29864-29914 rgb_led_ws281x: b: "ef" 29766-29914 rgb_led_ws281x: rgb: "#00ffef" 29914-29920 rgb_led_ws281x: bit: "1" 29920-29926 rgb_led_ws281x: bit: "1" @@ -4222,6 +4726,9 @@ 30043-30049 rgb_led_ws281x: bit: "1" 30049-30055 rgb_led_ws281x: bit: "1" 30055-30062 rgb_led_ws281x: bit: "1" +29914-29963 rgb_led_ws281x: g: "fb" +29963-30012 rgb_led_ws281x: r: "00" +30012-30062 rgb_led_ws281x: b: "df" 29914-30062 rgb_led_ws281x: rgb: "#00fbdf" 30062-30068 rgb_led_ws281x: bit: "1" 30068-30074 rgb_led_ws281x: bit: "1" @@ -4247,6 +4754,9 @@ 30191-30197 rgb_led_ws281x: bit: "1" 30197-30204 rgb_led_ws281x: bit: "1" 30204-30210 rgb_led_ws281x: bit: "0" +30062-30111 rgb_led_ws281x: g: "f7" +30111-30160 rgb_led_ws281x: r: "00" +30160-30210 rgb_led_ws281x: b: "be" 30062-30210 rgb_led_ws281x: rgb: "#00f7be" 30210-30216 rgb_led_ws281x: bit: "1" 30216-30222 rgb_led_ws281x: bit: "1" @@ -4272,6 +4782,9 @@ 30339-30345 rgb_led_ws281x: bit: "1" 30345-30352 rgb_led_ws281x: bit: "1" 30352-30358 rgb_led_ws281x: bit: "0" +30210-30259 rgb_led_ws281x: g: "ef" +30259-30309 rgb_led_ws281x: r: "00" +30309-30358 rgb_led_ws281x: b: "7e" 30210-30358 rgb_led_ws281x: rgb: "#00ef7e" 30358-30364 rgb_led_ws281x: bit: "1" 30364-30371 rgb_led_ws281x: bit: "1" @@ -4297,6 +4810,9 @@ 30487-30494 rgb_led_ws281x: bit: "1" 30494-30500 rgb_led_ws281x: bit: "0" 30500-30506 rgb_led_ws281x: bit: "1" +30358-30407 rgb_led_ws281x: g: "df" +30407-30457 rgb_led_ws281x: r: "00" +30457-30506 rgb_led_ws281x: b: "fd" 30358-30506 rgb_led_ws281x: rgb: "#00dffd" 30506-30512 rgb_led_ws281x: bit: "1" 30512-30519 rgb_led_ws281x: bit: "1" @@ -4322,6 +4838,9 @@ 30636-30642 rgb_led_ws281x: bit: "0" 30642-30648 rgb_led_ws281x: bit: "1" 30648-30654 rgb_led_ws281x: bit: "1" +30506-30556 rgb_led_ws281x: g: "ff" +30556-30605 rgb_led_ws281x: r: "00" +30605-30654 rgb_led_ws281x: b: "fb" 30506-30654 rgb_led_ws281x: rgb: "#00fffb" 30654-30661 rgb_led_ws281x: bit: "1" 30661-30667 rgb_led_ws281x: bit: "1" @@ -4347,6 +4866,9 @@ 30784-30790 rgb_led_ws281x: bit: "1" 30790-30796 rgb_led_ws281x: bit: "1" 30796-30803 rgb_led_ws281x: bit: "1" +30654-30704 rgb_led_ws281x: g: "fe" +30704-30753 rgb_led_ws281x: r: "00" +30753-30803 rgb_led_ws281x: b: "f7" 30654-30803 rgb_led_ws281x: rgb: "#00fef7" 30803-30809 rgb_led_ws281x: bit: "0" 30809-30815 rgb_led_ws281x: bit: "1" @@ -4372,6 +4894,9 @@ 30932-30938 rgb_led_ws281x: bit: "1" 30938-30944 rgb_led_ws281x: bit: "1" 30944-30951 rgb_led_ws281x: bit: "1" +30803-30852 rgb_led_ws281x: g: "7d" +30852-30901 rgb_led_ws281x: r: "00" +30901-30951 rgb_led_ws281x: b: "ef" 30803-30951 rgb_led_ws281x: rgb: "#007def" 30951-30957 rgb_led_ws281x: bit: "1" 30957-30963 rgb_led_ws281x: bit: "1" @@ -4397,6 +4922,9 @@ 31080-31086 rgb_led_ws281x: bit: "1" 31086-31092 rgb_led_ws281x: bit: "1" 31092-31099 rgb_led_ws281x: bit: "1" +30951-31000 rgb_led_ws281x: g: "ff" +31000-31049 rgb_led_ws281x: r: "00" +31049-31099 rgb_led_ws281x: b: "ff" 30951-31099 rgb_led_ws281x: rgb: "#00ffff" 31099-31105 rgb_led_ws281x: bit: "1" 31105-31111 rgb_led_ws281x: bit: "1" @@ -4422,6 +4950,9 @@ 31228-31234 rgb_led_ws281x: bit: "1" 31234-31240 rgb_led_ws281x: bit: "1" 31240-31247 rgb_led_ws281x: bit: "1" +31099-31148 rgb_led_ws281x: g: "ff" +31148-31197 rgb_led_ws281x: r: "00" +31197-31247 rgb_led_ws281x: b: "df" 31099-31247 rgb_led_ws281x: rgb: "#00ffdf" 31247-31253 rgb_led_ws281x: bit: "1" 31253-31259 rgb_led_ws281x: bit: "1" @@ -4447,6 +4978,9 @@ 31376-31382 rgb_led_ws281x: bit: "1" 31382-31389 rgb_led_ws281x: bit: "1" 31389-31395 rgb_led_ws281x: bit: "0" +31247-31296 rgb_led_ws281x: g: "f7" +31296-31345 rgb_led_ws281x: r: "00" +31345-31395 rgb_led_ws281x: b: "be" 31247-31395 rgb_led_ws281x: rgb: "#00f7be" 31395-31401 rgb_led_ws281x: bit: "1" 31401-31407 rgb_led_ws281x: bit: "1" @@ -4472,6 +5006,9 @@ 31524-31530 rgb_led_ws281x: bit: "1" 31530-31537 rgb_led_ws281x: bit: "1" 31537-31543 rgb_led_ws281x: bit: "1" +31395-31444 rgb_led_ws281x: g: "ef" +31444-31494 rgb_led_ws281x: r: "00" +31494-31543 rgb_led_ws281x: b: "7f" 31395-31543 rgb_led_ws281x: rgb: "#00ef7f" 31543-31549 rgb_led_ws281x: bit: "1" 31549-31556 rgb_led_ws281x: bit: "1" @@ -4497,6 +5034,9 @@ 31672-31679 rgb_led_ws281x: bit: "1" 31679-31685 rgb_led_ws281x: bit: "0" 31685-31691 rgb_led_ws281x: bit: "1" +31543-31592 rgb_led_ws281x: g: "df" +31592-31642 rgb_led_ws281x: r: "00" +31642-31691 rgb_led_ws281x: b: "fd" 31543-31691 rgb_led_ws281x: rgb: "#00dffd" 31691-31698 rgb_led_ws281x: bit: "1" 31698-31704 rgb_led_ws281x: bit: "0" @@ -4522,6 +5062,9 @@ 31821-31827 rgb_led_ws281x: bit: "0" 31827-31833 rgb_led_ws281x: bit: "1" 31833-31839 rgb_led_ws281x: bit: "1" +31691-31741 rgb_led_ws281x: g: "bf" +31741-31790 rgb_led_ws281x: r: "00" +31790-31839 rgb_led_ws281x: b: "fb" 31691-31839 rgb_led_ws281x: rgb: "#00bffb" 31839-31846 rgb_led_ws281x: bit: "1" 31846-31852 rgb_led_ws281x: bit: "1" @@ -4547,6 +5090,9 @@ 31969-31975 rgb_led_ws281x: bit: "1" 31975-31981 rgb_led_ws281x: bit: "1" 31981-31988 rgb_led_ws281x: bit: "1" +31839-31889 rgb_led_ws281x: g: "fe" +31889-31938 rgb_led_ws281x: r: "00" +31938-31988 rgb_led_ws281x: b: "f7" 31839-31988 rgb_led_ws281x: rgb: "#00fef7" 31988-31994 rgb_led_ws281x: bit: "1" 31994-32000 rgb_led_ws281x: bit: "1" @@ -4572,6 +5118,9 @@ 32117-32123 rgb_led_ws281x: bit: "1" 32123-32129 rgb_led_ws281x: bit: "1" 32129-32136 rgb_led_ws281x: bit: "1" +31988-32037 rgb_led_ws281x: g: "fd" +32037-32086 rgb_led_ws281x: r: "00" +32086-32136 rgb_led_ws281x: b: "ef" 31988-32136 rgb_led_ws281x: rgb: "#00fdef" 32136-32142 rgb_led_ws281x: bit: "1" 32142-32148 rgb_led_ws281x: bit: "1" @@ -4597,6 +5146,9 @@ 32265-32271 rgb_led_ws281x: bit: "1" 32271-32277 rgb_led_ws281x: bit: "1" 32277-32284 rgb_led_ws281x: bit: "1" +32136-32185 rgb_led_ws281x: g: "fb" +32185-32234 rgb_led_ws281x: r: "00" +32234-32284 rgb_led_ws281x: b: "df" 32136-32284 rgb_led_ws281x: rgb: "#00fbdf" 32284-32290 rgb_led_ws281x: bit: "1" 32290-32296 rgb_led_ws281x: bit: "1" @@ -4622,6 +5174,9 @@ 32413-32419 rgb_led_ws281x: bit: "1" 32419-32425 rgb_led_ws281x: bit: "1" 32425-32432 rgb_led_ws281x: bit: "1" +32284-32333 rgb_led_ws281x: g: "ff" +32333-32382 rgb_led_ws281x: r: "00" +32382-32432 rgb_led_ws281x: b: "df" 32284-32432 rgb_led_ws281x: rgb: "#00ffdf" 32432-32438 rgb_led_ws281x: bit: "1" 32438-32444 rgb_led_ws281x: bit: "1" @@ -4647,6 +5202,9 @@ 32561-32567 rgb_led_ws281x: bit: "1" 32567-32574 rgb_led_ws281x: bit: "1" 32574-32580 rgb_led_ws281x: bit: "0" +32432-32481 rgb_led_ws281x: g: "f7" +32481-32530 rgb_led_ws281x: r: "00" +32530-32580 rgb_led_ws281x: b: "be" 32432-32580 rgb_led_ws281x: rgb: "#00f7be" 32580-32586 rgb_led_ws281x: bit: "1" 32586-32592 rgb_led_ws281x: bit: "1" @@ -4672,6 +5230,9 @@ 32709-32715 rgb_led_ws281x: bit: "1" 32715-32722 rgb_led_ws281x: bit: "1" 32722-32728 rgb_led_ws281x: bit: "1" +32580-32629 rgb_led_ws281x: g: "ef" +32629-32679 rgb_led_ws281x: r: "00" +32679-32728 rgb_led_ws281x: b: "7f" 32580-32728 rgb_led_ws281x: rgb: "#00ef7f" 32728-32734 rgb_led_ws281x: bit: "1" 32734-32741 rgb_led_ws281x: bit: "1" @@ -4697,6 +5258,9 @@ 32857-32864 rgb_led_ws281x: bit: "1" 32864-32870 rgb_led_ws281x: bit: "0" 32870-32876 rgb_led_ws281x: bit: "1" +32728-32777 rgb_led_ws281x: g: "df" +32777-32827 rgb_led_ws281x: r: "00" +32827-32876 rgb_led_ws281x: b: "fd" 32728-32876 rgb_led_ws281x: rgb: "#00dffd" 32876-32883 rgb_led_ws281x: bit: "1" 32883-32889 rgb_led_ws281x: bit: "0" @@ -4722,6 +5286,9 @@ 33006-33012 rgb_led_ws281x: bit: "0" 33012-33018 rgb_led_ws281x: bit: "1" 33018-33025 rgb_led_ws281x: bit: "1" +32876-32926 rgb_led_ws281x: g: "bf" +32926-32975 rgb_led_ws281x: r: "00" +32975-33025 rgb_led_ws281x: b: "fb" 32876-33025 rgb_led_ws281x: rgb: "#00bffb" 33025-33031 rgb_led_ws281x: bit: "0" 33031-33037 rgb_led_ws281x: bit: "1" @@ -4747,6 +5314,9 @@ 33154-33160 rgb_led_ws281x: bit: "1" 33160-33166 rgb_led_ws281x: bit: "1" 33166-33173 rgb_led_ws281x: bit: "1" +33025-33074 rgb_led_ws281x: g: "7e" +33074-33123 rgb_led_ws281x: r: "00" +33123-33173 rgb_led_ws281x: b: "f7" 33025-33173 rgb_led_ws281x: rgb: "#007ef7" 33173-33179 rgb_led_ws281x: bit: "1" 33179-33185 rgb_led_ws281x: bit: "1" @@ -4772,6 +5342,9 @@ 33302-33308 rgb_led_ws281x: bit: "1" 33308-33314 rgb_led_ws281x: bit: "1" 33314-33321 rgb_led_ws281x: bit: "1" +33173-33222 rgb_led_ws281x: g: "fd" +33222-33271 rgb_led_ws281x: r: "00" +33271-33321 rgb_led_ws281x: b: "ef" 33173-33321 rgb_led_ws281x: rgb: "#00fdef" 33321-33327 rgb_led_ws281x: bit: "1" 33327-33333 rgb_led_ws281x: bit: "1" @@ -4797,6 +5370,9 @@ 33450-33456 rgb_led_ws281x: bit: "1" 33456-33462 rgb_led_ws281x: bit: "1" 33462-33469 rgb_led_ws281x: bit: "1" +33321-33370 rgb_led_ws281x: g: "fb" +33370-33419 rgb_led_ws281x: r: "00" +33419-33469 rgb_led_ws281x: b: "df" 33321-33469 rgb_led_ws281x: rgb: "#00fbdf" 33469-33475 rgb_led_ws281x: bit: "1" 33475-33481 rgb_led_ws281x: bit: "1" @@ -4822,6 +5398,9 @@ 33598-33604 rgb_led_ws281x: bit: "1" 33604-33610 rgb_led_ws281x: bit: "1" 33610-33617 rgb_led_ws281x: bit: "1" +33469-33518 rgb_led_ws281x: g: "ff" +33518-33567 rgb_led_ws281x: r: "00" +33567-33617 rgb_led_ws281x: b: "ff" 33469-33617 rgb_led_ws281x: rgb: "#00ffff" 33617-33623 rgb_led_ws281x: bit: "1" 33623-33629 rgb_led_ws281x: bit: "1" @@ -4847,6 +5426,9 @@ 33746-33752 rgb_led_ws281x: bit: "1" 33752-33759 rgb_led_ws281x: bit: "1" 33759-33765 rgb_led_ws281x: bit: "0" +33617-33666 rgb_led_ws281x: g: "ff" +33666-33715 rgb_led_ws281x: r: "00" +33715-33765 rgb_led_ws281x: b: "be" 33617-33765 rgb_led_ws281x: rgb: "#00ffbe" 33765-33771 rgb_led_ws281x: bit: "1" 33771-33777 rgb_led_ws281x: bit: "1" @@ -4872,6 +5454,9 @@ 33894-33901 rgb_led_ws281x: bit: "1" 33901-33907 rgb_led_ws281x: bit: "0" 33907-33913 rgb_led_ws281x: bit: "1" +33765-33814 rgb_led_ws281x: g: "ef" +33814-33864 rgb_led_ws281x: r: "00" +33864-33913 rgb_led_ws281x: b: "7d" 33765-33913 rgb_led_ws281x: rgb: "#00ef7d" 33913-33919 rgb_led_ws281x: bit: "1" 33919-33926 rgb_led_ws281x: bit: "1" @@ -4897,6 +5482,9 @@ 34042-34049 rgb_led_ws281x: bit: "1" 34049-34055 rgb_led_ws281x: bit: "1" 34055-34061 rgb_led_ws281x: bit: "1" +33913-33963 rgb_led_ws281x: g: "df" +33963-34012 rgb_led_ws281x: r: "00" +34012-34061 rgb_led_ws281x: b: "ff" 33913-34061 rgb_led_ws281x: rgb: "#00dfff" 34061-34068 rgb_led_ws281x: bit: "1" 34068-34074 rgb_led_ws281x: bit: "0" @@ -4922,6 +5510,9 @@ 34191-34197 rgb_led_ws281x: bit: "0" 34197-34203 rgb_led_ws281x: bit: "1" 34203-34210 rgb_led_ws281x: bit: "1" +34061-34111 rgb_led_ws281x: g: "bf" +34111-34160 rgb_led_ws281x: r: "00" +34160-34210 rgb_led_ws281x: b: "fb" 34061-34210 rgb_led_ws281x: rgb: "#00bffb" 34210-34216 rgb_led_ws281x: bit: "0" 34216-34222 rgb_led_ws281x: bit: "1" @@ -4947,6 +5538,9 @@ 34339-34345 rgb_led_ws281x: bit: "1" 34345-34351 rgb_led_ws281x: bit: "1" 34351-34358 rgb_led_ws281x: bit: "1" +34210-34259 rgb_led_ws281x: g: "7e" +34259-34308 rgb_led_ws281x: r: "00" +34308-34358 rgb_led_ws281x: b: "f7" 34210-34358 rgb_led_ws281x: rgb: "#007ef7" 34358-34364 rgb_led_ws281x: bit: "1" 34364-34370 rgb_led_ws281x: bit: "1" @@ -4972,6 +5566,9 @@ 34487-34493 rgb_led_ws281x: bit: "1" 34493-34499 rgb_led_ws281x: bit: "1" 34499-34506 rgb_led_ws281x: bit: "1" +34358-34407 rgb_led_ws281x: g: "fd" +34407-34456 rgb_led_ws281x: r: "00" +34456-34506 rgb_led_ws281x: b: "ef" 34358-34506 rgb_led_ws281x: rgb: "#00fdef" 34506-34512 rgb_led_ws281x: bit: "1" 34512-34518 rgb_led_ws281x: bit: "1" @@ -4997,6 +5594,9 @@ 34635-34641 rgb_led_ws281x: bit: "1" 34641-34647 rgb_led_ws281x: bit: "1" 34647-34654 rgb_led_ws281x: bit: "1" +34506-34555 rgb_led_ws281x: g: "fb" +34555-34604 rgb_led_ws281x: r: "00" +34604-34654 rgb_led_ws281x: b: "df" 34506-34654 rgb_led_ws281x: rgb: "#00fbdf" 34654-34660 rgb_led_ws281x: bit: "1" 34660-34666 rgb_led_ws281x: bit: "1" @@ -5022,6 +5622,9 @@ 34783-34789 rgb_led_ws281x: bit: "1" 34789-34795 rgb_led_ws281x: bit: "1" 34795-34802 rgb_led_ws281x: bit: "1" +34654-34703 rgb_led_ws281x: g: "f7" +34703-34752 rgb_led_ws281x: r: "00" +34752-34802 rgb_led_ws281x: b: "bf" 34654-34802 rgb_led_ws281x: rgb: "#00f7bf" 34802-34808 rgb_led_ws281x: bit: "1" 34808-34814 rgb_led_ws281x: bit: "1" @@ -5047,6 +5650,9 @@ 34931-34937 rgb_led_ws281x: bit: "1" 34937-34944 rgb_led_ws281x: bit: "1" 34944-34950 rgb_led_ws281x: bit: "0" +34802-34851 rgb_led_ws281x: g: "ff" +34851-34900 rgb_led_ws281x: r: "00" +34900-34950 rgb_led_ws281x: b: "be" 34802-34950 rgb_led_ws281x: rgb: "#00ffbe" 34950-34956 rgb_led_ws281x: bit: "1" 34956-34962 rgb_led_ws281x: bit: "1" @@ -5072,6 +5678,9 @@ 35079-35086 rgb_led_ws281x: bit: "1" 35086-35092 rgb_led_ws281x: bit: "0" 35092-35098 rgb_led_ws281x: bit: "1" +34950-34999 rgb_led_ws281x: g: "ef" +34999-35049 rgb_led_ws281x: r: "00" +35049-35098 rgb_led_ws281x: b: "7d" 34950-35098 rgb_led_ws281x: rgb: "#00ef7d" 35098-35104 rgb_led_ws281x: bit: "1" 35104-35111 rgb_led_ws281x: bit: "1" @@ -5097,6 +5706,9 @@ 35227-35234 rgb_led_ws281x: bit: "1" 35234-35240 rgb_led_ws281x: bit: "1" 35240-35246 rgb_led_ws281x: bit: "1" +35098-35148 rgb_led_ws281x: g: "df" +35148-35197 rgb_led_ws281x: r: "00" +35197-35246 rgb_led_ws281x: b: "ff" 35098-35246 rgb_led_ws281x: rgb: "#00dfff" 35246-35253 rgb_led_ws281x: bit: "1" 35253-35259 rgb_led_ws281x: bit: "0" @@ -5122,6 +5734,9 @@ 35376-35382 rgb_led_ws281x: bit: "1" 35382-35388 rgb_led_ws281x: bit: "1" 35388-35395 rgb_led_ws281x: bit: "1" +35246-35296 rgb_led_ws281x: g: "bf" +35296-35345 rgb_led_ws281x: r: "00" +35345-35395 rgb_led_ws281x: b: "ff" 35246-35395 rgb_led_ws281x: rgb: "#00bfff" 35395-35401 rgb_led_ws281x: bit: "0" 35401-35407 rgb_led_ws281x: bit: "1" @@ -5147,6 +5762,9 @@ 35524-35530 rgb_led_ws281x: bit: "1" 35530-35536 rgb_led_ws281x: bit: "1" 35536-35543 rgb_led_ws281x: bit: "1" +35395-35444 rgb_led_ws281x: g: "7e" +35444-35493 rgb_led_ws281x: r: "00" +35493-35543 rgb_led_ws281x: b: "f7" 35395-35543 rgb_led_ws281x: rgb: "#007ef7" 35543-35549 rgb_led_ws281x: bit: "1" 35549-35555 rgb_led_ws281x: bit: "1" @@ -5172,6 +5790,9 @@ 35672-35678 rgb_led_ws281x: bit: "1" 35678-35684 rgb_led_ws281x: bit: "1" 35684-35691 rgb_led_ws281x: bit: "1" +35543-35592 rgb_led_ws281x: g: "fd" +35592-35641 rgb_led_ws281x: r: "00" +35641-35691 rgb_led_ws281x: b: "ef" 35543-35691 rgb_led_ws281x: rgb: "#00fdef" 35691-35697 rgb_led_ws281x: bit: "1" 35697-35703 rgb_led_ws281x: bit: "1" @@ -5197,6 +5818,9 @@ 35820-35826 rgb_led_ws281x: bit: "1" 35826-35832 rgb_led_ws281x: bit: "1" 35832-35839 rgb_led_ws281x: bit: "1" +35691-35740 rgb_led_ws281x: g: "fb" +35740-35789 rgb_led_ws281x: r: "00" +35789-35839 rgb_led_ws281x: b: "df" 35691-35839 rgb_led_ws281x: rgb: "#00fbdf" 35839-35845 rgb_led_ws281x: bit: "1" 35845-35851 rgb_led_ws281x: bit: "1" @@ -5222,6 +5846,9 @@ 35968-35974 rgb_led_ws281x: bit: "1" 35974-35980 rgb_led_ws281x: bit: "1" 35980-35987 rgb_led_ws281x: bit: "1" +35839-35888 rgb_led_ws281x: g: "f7" +35888-35937 rgb_led_ws281x: r: "00" +35937-35987 rgb_led_ws281x: b: "bf" 35839-35987 rgb_led_ws281x: rgb: "#00f7bf" 35987-35993 rgb_led_ws281x: bit: "1" 35993-35999 rgb_led_ws281x: bit: "1" @@ -5247,6 +5874,9 @@ 36116-36122 rgb_led_ws281x: bit: "1" 36122-36129 rgb_led_ws281x: bit: "1" 36129-36135 rgb_led_ws281x: bit: "0" +35987-36036 rgb_led_ws281x: g: "ff" +36036-36086 rgb_led_ws281x: r: "00" +36086-36135 rgb_led_ws281x: b: "7e" 35987-36135 rgb_led_ws281x: rgb: "#00ff7e" 36135-36141 rgb_led_ws281x: bit: "1" 36141-36147 rgb_led_ws281x: bit: "1" @@ -5272,6 +5902,9 @@ 36264-36271 rgb_led_ws281x: bit: "1" 36271-36277 rgb_led_ws281x: bit: "0" 36277-36283 rgb_led_ws281x: bit: "1" +36135-36184 rgb_led_ws281x: g: "ef" +36184-36234 rgb_led_ws281x: r: "00" +36234-36283 rgb_led_ws281x: b: "7d" 36135-36283 rgb_led_ws281x: rgb: "#00ef7d" 36283-36289 rgb_led_ws281x: bit: "1" 36289-36296 rgb_led_ws281x: bit: "1" @@ -5297,6 +5930,9 @@ 36412-36419 rgb_led_ws281x: bit: "1" 36419-36425 rgb_led_ws281x: bit: "1" 36425-36431 rgb_led_ws281x: bit: "1" +36283-36333 rgb_led_ws281x: g: "df" +36333-36382 rgb_led_ws281x: r: "00" +36382-36431 rgb_led_ws281x: b: "ff" 36283-36431 rgb_led_ws281x: rgb: "#00dfff" 36431-36438 rgb_led_ws281x: bit: "1" 36438-36444 rgb_led_ws281x: bit: "0" @@ -5322,6 +5958,9 @@ 36561-36567 rgb_led_ws281x: bit: "1" 36567-36573 rgb_led_ws281x: bit: "1" 36573-36580 rgb_led_ws281x: bit: "1" +36431-36481 rgb_led_ws281x: g: "be" +36481-36530 rgb_led_ws281x: r: "00" +36530-36580 rgb_led_ws281x: b: "ff" 36431-36580 rgb_led_ws281x: rgb: "#00beff" 36580-36586 rgb_led_ws281x: bit: "0" 36586-36592 rgb_led_ws281x: bit: "1" @@ -5347,6 +5986,9 @@ 36709-36715 rgb_led_ws281x: bit: "1" 36715-36721 rgb_led_ws281x: bit: "1" 36721-36728 rgb_led_ws281x: bit: "1" +36580-36629 rgb_led_ws281x: g: "7f" +36629-36678 rgb_led_ws281x: r: "00" +36678-36728 rgb_led_ws281x: b: "f7" 36580-36728 rgb_led_ws281x: rgb: "#007ff7" 36728-36734 rgb_led_ws281x: bit: "1" 36734-36740 rgb_led_ws281x: bit: "1" @@ -5372,6 +6014,9 @@ 36857-36863 rgb_led_ws281x: bit: "1" 36863-36869 rgb_led_ws281x: bit: "1" 36869-36876 rgb_led_ws281x: bit: "1" +36728-36777 rgb_led_ws281x: g: "fd" +36777-36826 rgb_led_ws281x: r: "00" +36826-36876 rgb_led_ws281x: b: "ef" 36728-36876 rgb_led_ws281x: rgb: "#00fdef" 36876-36882 rgb_led_ws281x: bit: "1" 36882-36888 rgb_led_ws281x: bit: "1" @@ -5397,6 +6042,9 @@ 37005-37011 rgb_led_ws281x: bit: "1" 37011-37017 rgb_led_ws281x: bit: "1" 37017-37024 rgb_led_ws281x: bit: "1" +36876-36925 rgb_led_ws281x: g: "fb" +36925-36974 rgb_led_ws281x: r: "00" +36974-37024 rgb_led_ws281x: b: "df" 36876-37024 rgb_led_ws281x: rgb: "#00fbdf" 37024-37030 rgb_led_ws281x: bit: "1" 37030-37036 rgb_led_ws281x: bit: "1" @@ -5422,6 +6070,9 @@ 37153-37159 rgb_led_ws281x: bit: "1" 37159-37165 rgb_led_ws281x: bit: "1" 37165-37172 rgb_led_ws281x: bit: "1" +37024-37073 rgb_led_ws281x: g: "f7" +37073-37122 rgb_led_ws281x: r: "00" +37122-37172 rgb_led_ws281x: b: "bf" 37024-37172 rgb_led_ws281x: rgb: "#00f7bf" 37172-37178 rgb_led_ws281x: bit: "1" 37178-37184 rgb_led_ws281x: bit: "1" @@ -5447,6 +6098,9 @@ 37301-37307 rgb_led_ws281x: bit: "1" 37307-37314 rgb_led_ws281x: bit: "1" 37314-37320 rgb_led_ws281x: bit: "0" +37172-37221 rgb_led_ws281x: g: "ef" +37221-37271 rgb_led_ws281x: r: "00" +37271-37320 rgb_led_ws281x: b: "7e" 37172-37320 rgb_led_ws281x: rgb: "#00ef7e" 37320-37326 rgb_led_ws281x: bit: "1" 37326-37332 rgb_led_ws281x: bit: "1" @@ -5472,6 +6126,9 @@ 37449-37456 rgb_led_ws281x: bit: "1" 37456-37462 rgb_led_ws281x: bit: "0" 37462-37468 rgb_led_ws281x: bit: "1" +37320-37369 rgb_led_ws281x: g: "ff" +37369-37419 rgb_led_ws281x: r: "00" +37419-37468 rgb_led_ws281x: b: "fd" 37320-37468 rgb_led_ws281x: rgb: "#00fffd" 37468-37474 rgb_led_ws281x: bit: "1" 37474-37481 rgb_led_ws281x: bit: "1" @@ -5497,6 +6154,9 @@ 37598-37604 rgb_led_ws281x: bit: "0" 37604-37610 rgb_led_ws281x: bit: "1" 37610-37616 rgb_led_ws281x: bit: "1" +37468-37518 rgb_led_ws281x: g: "df" +37518-37567 rgb_led_ws281x: r: "00" +37567-37616 rgb_led_ws281x: b: "fb" 37468-37616 rgb_led_ws281x: rgb: "#00dffb" 37616-37623 rgb_led_ws281x: bit: "1" 37623-37629 rgb_led_ws281x: bit: "0" @@ -5522,6 +6182,9 @@ 37746-37752 rgb_led_ws281x: bit: "1" 37752-37758 rgb_led_ws281x: bit: "1" 37758-37765 rgb_led_ws281x: bit: "1" +37616-37666 rgb_led_ws281x: g: "be" +37666-37715 rgb_led_ws281x: r: "00" +37715-37765 rgb_led_ws281x: b: "f7" 37616-37765 rgb_led_ws281x: rgb: "#00bef7" 37765-37771 rgb_led_ws281x: bit: "0" 37771-37777 rgb_led_ws281x: bit: "1" @@ -5547,6 +6210,9 @@ 37894-37900 rgb_led_ws281x: bit: "1" 37900-37906 rgb_led_ws281x: bit: "1" 37906-37913 rgb_led_ws281x: bit: "1" +37765-37814 rgb_led_ws281x: g: "7d" +37814-37863 rgb_led_ws281x: r: "00" +37863-37913 rgb_led_ws281x: b: "f7" 37765-37913 rgb_led_ws281x: rgb: "#007df7" 37913-37919 rgb_led_ws281x: bit: "1" 37919-37925 rgb_led_ws281x: bit: "1" @@ -5572,6 +6238,9 @@ 38042-38048 rgb_led_ws281x: bit: "1" 38048-38054 rgb_led_ws281x: bit: "1" 38054-38061 rgb_led_ws281x: bit: "1" +37913-37962 rgb_led_ws281x: g: "fd" +37962-38011 rgb_led_ws281x: r: "00" +38011-38061 rgb_led_ws281x: b: "ef" 37913-38061 rgb_led_ws281x: rgb: "#00fdef" 38061-38067 rgb_led_ws281x: bit: "1" 38067-38073 rgb_led_ws281x: bit: "1" @@ -5597,6 +6266,9 @@ 38190-38196 rgb_led_ws281x: bit: "1" 38196-38202 rgb_led_ws281x: bit: "1" 38202-38209 rgb_led_ws281x: bit: "1" +38061-38110 rgb_led_ws281x: g: "fb" +38110-38159 rgb_led_ws281x: r: "00" +38159-38209 rgb_led_ws281x: b: "df" 38061-38209 rgb_led_ws281x: rgb: "#00fbdf" 38209-38215 rgb_led_ws281x: bit: "1" 38215-38221 rgb_led_ws281x: bit: "1" @@ -5622,6 +6294,9 @@ 38338-38344 rgb_led_ws281x: bit: "1" 38344-38350 rgb_led_ws281x: bit: "1" 38350-38357 rgb_led_ws281x: bit: "1" +38209-38258 rgb_led_ws281x: g: "f7" +38258-38307 rgb_led_ws281x: r: "00" +38307-38357 rgb_led_ws281x: b: "bf" 38209-38357 rgb_led_ws281x: rgb: "#00f7bf" 38357-38363 rgb_led_ws281x: bit: "1" 38363-38369 rgb_led_ws281x: bit: "1" @@ -5647,6 +6322,9 @@ 38486-38492 rgb_led_ws281x: bit: "1" 38492-38499 rgb_led_ws281x: bit: "1" 38499-38505 rgb_led_ws281x: bit: "0" +38357-38406 rgb_led_ws281x: g: "ef" +38406-38456 rgb_led_ws281x: r: "00" +38456-38505 rgb_led_ws281x: b: "7e" 38357-38505 rgb_led_ws281x: rgb: "#00ef7e" 38505-38511 rgb_led_ws281x: bit: "1" 38511-38518 rgb_led_ws281x: bit: "1" @@ -5672,6 +6350,9 @@ 38634-38641 rgb_led_ws281x: bit: "1" 38641-38647 rgb_led_ws281x: bit: "0" 38647-38653 rgb_led_ws281x: bit: "1" +38505-38554 rgb_led_ws281x: g: "df" +38554-38604 rgb_led_ws281x: r: "00" +38604-38653 rgb_led_ws281x: b: "fd" 38505-38653 rgb_led_ws281x: rgb: "#00dffd" 38653-38659 rgb_led_ws281x: bit: "1" 38659-38666 rgb_led_ws281x: bit: "1" @@ -5697,6 +6378,9 @@ 38783-38789 rgb_led_ws281x: bit: "0" 38789-38795 rgb_led_ws281x: bit: "1" 38795-38801 rgb_led_ws281x: bit: "1" +38653-38703 rgb_led_ws281x: g: "ff" +38703-38752 rgb_led_ws281x: r: "00" +38752-38801 rgb_led_ws281x: b: "fb" 38653-38801 rgb_led_ws281x: rgb: "#00fffb" 38801-38808 rgb_led_ws281x: bit: "1" 38808-38814 rgb_led_ws281x: bit: "0" @@ -5722,6 +6406,9 @@ 38931-38937 rgb_led_ws281x: bit: "1" 38937-38943 rgb_led_ws281x: bit: "1" 38943-38950 rgb_led_ws281x: bit: "1" +38801-38851 rgb_led_ws281x: g: "be" +38851-38900 rgb_led_ws281x: r: "00" +38900-38950 rgb_led_ws281x: b: "f7" 38801-38950 rgb_led_ws281x: rgb: "#00bef7" 38950-38956 rgb_led_ws281x: bit: "0" 38956-38962 rgb_led_ws281x: bit: "1" @@ -5747,6 +6434,9 @@ 39079-39085 rgb_led_ws281x: bit: "1" 39085-39091 rgb_led_ws281x: bit: "1" 39091-39098 rgb_led_ws281x: bit: "1" +38950-38999 rgb_led_ws281x: g: "7d" +38999-39048 rgb_led_ws281x: r: "00" +39048-39098 rgb_led_ws281x: b: "ff" 38950-39098 rgb_led_ws281x: rgb: "#007dff" 39098-39104 rgb_led_ws281x: bit: "1" 39104-39110 rgb_led_ws281x: bit: "1" @@ -5772,6 +6462,9 @@ 39227-39233 rgb_led_ws281x: bit: "1" 39233-39239 rgb_led_ws281x: bit: "1" 39239-39246 rgb_led_ws281x: bit: "1" +39098-39147 rgb_led_ws281x: g: "ff" +39147-39196 rgb_led_ws281x: r: "00" +39196-39246 rgb_led_ws281x: b: "ef" 39098-39246 rgb_led_ws281x: rgb: "#00ffef" 39246-39252 rgb_led_ws281x: bit: "1" 39252-39258 rgb_led_ws281x: bit: "1" @@ -5797,6 +6490,9 @@ 39375-39381 rgb_led_ws281x: bit: "1" 39381-39387 rgb_led_ws281x: bit: "1" 39387-39394 rgb_led_ws281x: bit: "1" +39246-39295 rgb_led_ws281x: g: "fb" +39295-39344 rgb_led_ws281x: r: "00" +39344-39394 rgb_led_ws281x: b: "df" 39246-39394 rgb_led_ws281x: rgb: "#00fbdf" 39394-39400 rgb_led_ws281x: bit: "1" 39400-39406 rgb_led_ws281x: bit: "1" @@ -5822,6 +6518,9 @@ 39523-39529 rgb_led_ws281x: bit: "1" 39529-39535 rgb_led_ws281x: bit: "1" 39535-39542 rgb_led_ws281x: bit: "1" +39394-39443 rgb_led_ws281x: g: "f7" +39443-39492 rgb_led_ws281x: r: "00" +39492-39542 rgb_led_ws281x: b: "bf" 39394-39542 rgb_led_ws281x: rgb: "#00f7bf" 39542-39548 rgb_led_ws281x: bit: "1" 39548-39554 rgb_led_ws281x: bit: "1" @@ -5847,6 +6546,9 @@ 39671-39677 rgb_led_ws281x: bit: "1" 39677-39684 rgb_led_ws281x: bit: "1" 39684-39690 rgb_led_ws281x: bit: "0" +39542-39591 rgb_led_ws281x: g: "ef" +39591-39641 rgb_led_ws281x: r: "00" +39641-39690 rgb_led_ws281x: b: "7e" 39542-39690 rgb_led_ws281x: rgb: "#00ef7e" 39690-39696 rgb_led_ws281x: bit: "1" 39696-39703 rgb_led_ws281x: bit: "1" @@ -5872,6 +6574,9 @@ 39819-39826 rgb_led_ws281x: bit: "1" 39826-39832 rgb_led_ws281x: bit: "0" 39832-39838 rgb_led_ws281x: bit: "1" +39690-39739 rgb_led_ws281x: g: "df" +39739-39789 rgb_led_ws281x: r: "00" +39789-39838 rgb_led_ws281x: b: "fd" 39690-39838 rgb_led_ws281x: rgb: "#00dffd" 39838-39844 rgb_led_ws281x: bit: "1" 39844-39851 rgb_led_ws281x: bit: "1" @@ -5897,6 +6602,9 @@ 39968-39974 rgb_led_ws281x: bit: "0" 39974-39980 rgb_led_ws281x: bit: "1" 39980-39986 rgb_led_ws281x: bit: "1" +39838-39888 rgb_led_ws281x: g: "ff" +39888-39937 rgb_led_ws281x: r: "00" +39937-39986 rgb_led_ws281x: b: "fb" 39838-39986 rgb_led_ws281x: rgb: "#00fffb" 39986-39993 rgb_led_ws281x: bit: "1" 39993-39999 rgb_led_ws281x: bit: "1" @@ -5922,6 +6630,9 @@ 40116-40122 rgb_led_ws281x: bit: "1" 40122-40128 rgb_led_ws281x: bit: "1" 40128-40135 rgb_led_ws281x: bit: "1" +39986-40036 rgb_led_ws281x: g: "fe" +40036-40085 rgb_led_ws281x: r: "00" +40085-40135 rgb_led_ws281x: b: "f7" 39986-40135 rgb_led_ws281x: rgb: "#00fef7" 40135-40141 rgb_led_ws281x: bit: "0" 40141-40147 rgb_led_ws281x: bit: "1" @@ -5947,6 +6658,9 @@ 40264-40270 rgb_led_ws281x: bit: "1" 40270-40276 rgb_led_ws281x: bit: "1" 40276-40283 rgb_led_ws281x: bit: "1" +40135-40184 rgb_led_ws281x: g: "7d" +40184-40233 rgb_led_ws281x: r: "00" +40233-40283 rgb_led_ws281x: b: "ef" 40135-40283 rgb_led_ws281x: rgb: "#007def" 40283-40289 rgb_led_ws281x: bit: "1" 40289-40295 rgb_led_ws281x: bit: "1" @@ -5972,6 +6686,9 @@ 40412-40418 rgb_led_ws281x: bit: "1" 40418-40424 rgb_led_ws281x: bit: "1" 40424-40431 rgb_led_ws281x: bit: "1" +40283-40332 rgb_led_ws281x: g: "ff" +40332-40381 rgb_led_ws281x: r: "00" +40381-40431 rgb_led_ws281x: b: "ff" 40283-40431 rgb_led_ws281x: rgb: "#00ffff" 40431-40437 rgb_led_ws281x: bit: "1" 40437-40443 rgb_led_ws281x: bit: "1" @@ -5997,6 +6714,9 @@ 40560-40566 rgb_led_ws281x: bit: "1" 40566-40572 rgb_led_ws281x: bit: "1" 40572-40579 rgb_led_ws281x: bit: "1" +40431-40480 rgb_led_ws281x: g: "ff" +40480-40529 rgb_led_ws281x: r: "00" +40529-40579 rgb_led_ws281x: b: "df" 40431-40579 rgb_led_ws281x: rgb: "#00ffdf" 40579-40585 rgb_led_ws281x: bit: "1" 40585-40591 rgb_led_ws281x: bit: "1" @@ -6022,6 +6742,9 @@ 40708-40714 rgb_led_ws281x: bit: "1" 40714-40721 rgb_led_ws281x: bit: "1" 40721-40727 rgb_led_ws281x: bit: "0" +40579-40628 rgb_led_ws281x: g: "f7" +40628-40677 rgb_led_ws281x: r: "00" +40677-40727 rgb_led_ws281x: b: "be" 40579-40727 rgb_led_ws281x: rgb: "#00f7be" 40727-40733 rgb_led_ws281x: bit: "1" 40733-40739 rgb_led_ws281x: bit: "1" @@ -6047,6 +6770,9 @@ 40856-40862 rgb_led_ws281x: bit: "1" 40862-40869 rgb_led_ws281x: bit: "1" 40869-40875 rgb_led_ws281x: bit: "1" +40727-40776 rgb_led_ws281x: g: "ef" +40776-40826 rgb_led_ws281x: r: "00" +40826-40875 rgb_led_ws281x: b: "7f" 40727-40875 rgb_led_ws281x: rgb: "#00ef7f" 40875-40881 rgb_led_ws281x: bit: "1" 40881-40888 rgb_led_ws281x: bit: "1" @@ -6072,6 +6798,9 @@ 41004-41011 rgb_led_ws281x: bit: "1" 41011-41017 rgb_led_ws281x: bit: "0" 41017-41023 rgb_led_ws281x: bit: "1" +40875-40924 rgb_led_ws281x: g: "df" +40924-40974 rgb_led_ws281x: r: "00" +40974-41023 rgb_led_ws281x: b: "fd" 40875-41023 rgb_led_ws281x: rgb: "#00dffd" 41023-41030 rgb_led_ws281x: bit: "1" 41030-41036 rgb_led_ws281x: bit: "0" @@ -6097,6 +6826,9 @@ 41153-41159 rgb_led_ws281x: bit: "0" 41159-41165 rgb_led_ws281x: bit: "1" 41165-41171 rgb_led_ws281x: bit: "1" +41023-41073 rgb_led_ws281x: g: "bf" +41073-41122 rgb_led_ws281x: r: "00" +41122-41171 rgb_led_ws281x: b: "fb" 41023-41171 rgb_led_ws281x: rgb: "#00bffb" 41171-41178 rgb_led_ws281x: bit: "1" 41178-41184 rgb_led_ws281x: bit: "1" @@ -6122,6 +6854,9 @@ 41301-41307 rgb_led_ws281x: bit: "1" 41307-41313 rgb_led_ws281x: bit: "1" 41313-41320 rgb_led_ws281x: bit: "1" +41171-41221 rgb_led_ws281x: g: "fe" +41221-41270 rgb_led_ws281x: r: "00" +41270-41320 rgb_led_ws281x: b: "f7" 41171-41320 rgb_led_ws281x: rgb: "#00fef7" 41320-41326 rgb_led_ws281x: bit: "0" 41326-41332 rgb_led_ws281x: bit: "1" @@ -6147,6 +6882,9 @@ 41449-41455 rgb_led_ws281x: bit: "1" 41455-41461 rgb_led_ws281x: bit: "1" 41461-41468 rgb_led_ws281x: bit: "1" +41320-41369 rgb_led_ws281x: g: "7d" +41369-41418 rgb_led_ws281x: r: "00" +41418-41468 rgb_led_ws281x: b: "ef" 41320-41468 rgb_led_ws281x: rgb: "#007def" 41468-41474 rgb_led_ws281x: bit: "1" 41474-41480 rgb_led_ws281x: bit: "1" @@ -6172,6 +6910,9 @@ 41597-41603 rgb_led_ws281x: bit: "1" 41603-41609 rgb_led_ws281x: bit: "1" 41609-41616 rgb_led_ws281x: bit: "1" +41468-41517 rgb_led_ws281x: g: "ff" +41517-41566 rgb_led_ws281x: r: "00" +41566-41616 rgb_led_ws281x: b: "ff" 41468-41616 rgb_led_ws281x: rgb: "#00ffff" 41616-41622 rgb_led_ws281x: bit: "1" 41622-41628 rgb_led_ws281x: bit: "1" @@ -6197,6 +6938,9 @@ 41745-41751 rgb_led_ws281x: bit: "1" 41751-41757 rgb_led_ws281x: bit: "1" 41757-41764 rgb_led_ws281x: bit: "1" +41616-41665 rgb_led_ws281x: g: "ff" +41665-41714 rgb_led_ws281x: r: "00" +41714-41764 rgb_led_ws281x: b: "df" 41616-41764 rgb_led_ws281x: rgb: "#00ffdf" 41764-41770 rgb_led_ws281x: bit: "1" 41770-41776 rgb_led_ws281x: bit: "1" @@ -6222,6 +6966,9 @@ 41893-41899 rgb_led_ws281x: bit: "1" 41899-41906 rgb_led_ws281x: bit: "1" 41906-41912 rgb_led_ws281x: bit: "0" +41764-41813 rgb_led_ws281x: g: "f7" +41813-41862 rgb_led_ws281x: r: "00" +41862-41912 rgb_led_ws281x: b: "be" 41764-41912 rgb_led_ws281x: rgb: "#00f7be" 41912-41918 rgb_led_ws281x: bit: "1" 41918-41924 rgb_led_ws281x: bit: "1" @@ -6247,6 +6994,9 @@ 42041-42048 rgb_led_ws281x: bit: "1" 42048-42054 rgb_led_ws281x: bit: "0" 42054-42060 rgb_led_ws281x: bit: "1" +41912-41961 rgb_led_ws281x: g: "ef" +41961-42011 rgb_led_ws281x: r: "00" +42011-42060 rgb_led_ws281x: b: "7d" 41912-42060 rgb_led_ws281x: rgb: "#00ef7d" 42060-42066 rgb_led_ws281x: bit: "1" 42066-42073 rgb_led_ws281x: bit: "1" @@ -6272,6 +7022,9 @@ 42189-42196 rgb_led_ws281x: bit: "1" 42196-42202 rgb_led_ws281x: bit: "0" 42202-42208 rgb_led_ws281x: bit: "1" +42060-42109 rgb_led_ws281x: g: "df" +42109-42159 rgb_led_ws281x: r: "00" +42159-42208 rgb_led_ws281x: b: "fd" 42060-42208 rgb_led_ws281x: rgb: "#00dffd" 42208-42215 rgb_led_ws281x: bit: "1" 42215-42221 rgb_led_ws281x: bit: "0" @@ -6297,6 +7050,9 @@ 42338-42344 rgb_led_ws281x: bit: "0" 42344-42350 rgb_led_ws281x: bit: "1" 42350-42357 rgb_led_ws281x: bit: "1" +42208-42258 rgb_led_ws281x: g: "bf" +42258-42307 rgb_led_ws281x: r: "00" +42307-42357 rgb_led_ws281x: b: "fb" 42208-42357 rgb_led_ws281x: rgb: "#00bffb" 42357-42363 rgb_led_ws281x: bit: "0" 42363-42369 rgb_led_ws281x: bit: "1" @@ -6322,6 +7078,9 @@ 42486-42492 rgb_led_ws281x: bit: "1" 42492-42498 rgb_led_ws281x: bit: "1" 42498-42505 rgb_led_ws281x: bit: "1" +42357-42406 rgb_led_ws281x: g: "7e" +42406-42455 rgb_led_ws281x: r: "00" +42455-42505 rgb_led_ws281x: b: "f7" 42357-42505 rgb_led_ws281x: rgb: "#007ef7" 42505-42511 rgb_led_ws281x: bit: "1" 42511-42517 rgb_led_ws281x: bit: "1" @@ -6347,6 +7106,9 @@ 42634-42640 rgb_led_ws281x: bit: "1" 42640-42646 rgb_led_ws281x: bit: "1" 42646-42653 rgb_led_ws281x: bit: "1" +42505-42554 rgb_led_ws281x: g: "fd" +42554-42603 rgb_led_ws281x: r: "00" +42603-42653 rgb_led_ws281x: b: "ef" 42505-42653 rgb_led_ws281x: rgb: "#00fdef" 42653-42659 rgb_led_ws281x: bit: "1" 42659-42665 rgb_led_ws281x: bit: "1" @@ -6371,6 +7133,9 @@ 42776-42782 rgb_led_ws281x: bit: "1" 42782-42788 rgb_led_ws281x: bit: "1" 42788-42794 rgb_led_ws281x: bit: "1" +42653-42702 rgb_led_ws281x: g: "fb" +42702-42751 rgb_led_ws281x: r: "00" +42751-42798 rgb_led_ws281x: b: "df" 42653-42798 rgb_led_ws281x: rgb: "#00fbdf" 42794-42798 rgb_led_ws281x: bit: "1" 42798-43049 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -6398,6 +7163,9 @@ 54722-54728 rgb_led_ws281x: bit: "1" 54728-54734 rgb_led_ws281x: bit: "1" 54734-54741 rgb_led_ws281x: bit: "1" +54593-54642 rgb_led_ws281x: g: "7d" +54642-54691 rgb_led_ws281x: r: "00" +54691-54741 rgb_led_ws281x: b: "ff" 54593-54741 rgb_led_ws281x: rgb: "#007dff" 54741-54747 rgb_led_ws281x: bit: "1" 54747-54753 rgb_led_ws281x: bit: "1" @@ -6423,6 +7191,9 @@ 54870-54876 rgb_led_ws281x: bit: "1" 54876-54882 rgb_led_ws281x: bit: "1" 54882-54889 rgb_led_ws281x: bit: "1" +54741-54790 rgb_led_ws281x: g: "ff" +54790-54839 rgb_led_ws281x: r: "00" +54839-54889 rgb_led_ws281x: b: "ef" 54741-54889 rgb_led_ws281x: rgb: "#00ffef" 54889-54895 rgb_led_ws281x: bit: "1" 54895-54901 rgb_led_ws281x: bit: "1" @@ -6448,6 +7219,9 @@ 55018-55024 rgb_led_ws281x: bit: "1" 55024-55030 rgb_led_ws281x: bit: "1" 55030-55037 rgb_led_ws281x: bit: "1" +54889-54938 rgb_led_ws281x: g: "fb" +54938-54987 rgb_led_ws281x: r: "00" +54987-55037 rgb_led_ws281x: b: "df" 54889-55037 rgb_led_ws281x: rgb: "#00fbdf" 55037-55043 rgb_led_ws281x: bit: "1" 55043-55049 rgb_led_ws281x: bit: "1" @@ -6473,6 +7247,9 @@ 55166-55172 rgb_led_ws281x: bit: "1" 55172-55178 rgb_led_ws281x: bit: "1" 55178-55185 rgb_led_ws281x: bit: "1" +55037-55086 rgb_led_ws281x: g: "f7" +55086-55135 rgb_led_ws281x: r: "00" +55135-55185 rgb_led_ws281x: b: "bf" 55037-55185 rgb_led_ws281x: rgb: "#00f7bf" 55185-55191 rgb_led_ws281x: bit: "1" 55191-55197 rgb_led_ws281x: bit: "1" @@ -6498,6 +7275,9 @@ 55314-55320 rgb_led_ws281x: bit: "1" 55320-55327 rgb_led_ws281x: bit: "1" 55327-55333 rgb_led_ws281x: bit: "0" +55185-55234 rgb_led_ws281x: g: "ef" +55234-55284 rgb_led_ws281x: r: "00" +55284-55333 rgb_led_ws281x: b: "7e" 55185-55333 rgb_led_ws281x: rgb: "#00ef7e" 55333-55339 rgb_led_ws281x: bit: "1" 55339-55346 rgb_led_ws281x: bit: "1" @@ -6523,6 +7303,9 @@ 55462-55469 rgb_led_ws281x: bit: "1" 55469-55475 rgb_led_ws281x: bit: "0" 55475-55481 rgb_led_ws281x: bit: "1" +55333-55382 rgb_led_ws281x: g: "df" +55382-55432 rgb_led_ws281x: r: "00" +55432-55481 rgb_led_ws281x: b: "fd" 55333-55481 rgb_led_ws281x: rgb: "#00dffd" 55481-55487 rgb_led_ws281x: bit: "1" 55487-55494 rgb_led_ws281x: bit: "1" @@ -6548,6 +7331,9 @@ 55611-55617 rgb_led_ws281x: bit: "0" 55617-55623 rgb_led_ws281x: bit: "1" 55623-55629 rgb_led_ws281x: bit: "1" +55481-55531 rgb_led_ws281x: g: "ff" +55531-55580 rgb_led_ws281x: r: "00" +55580-55629 rgb_led_ws281x: b: "fb" 55481-55629 rgb_led_ws281x: rgb: "#00fffb" 55629-55636 rgb_led_ws281x: bit: "1" 55636-55642 rgb_led_ws281x: bit: "0" @@ -6573,6 +7359,9 @@ 55759-55765 rgb_led_ws281x: bit: "1" 55765-55771 rgb_led_ws281x: bit: "1" 55771-55778 rgb_led_ws281x: bit: "1" +55629-55679 rgb_led_ws281x: g: "be" +55679-55728 rgb_led_ws281x: r: "00" +55728-55778 rgb_led_ws281x: b: "f7" 55629-55778 rgb_led_ws281x: rgb: "#00bef7" 55778-55784 rgb_led_ws281x: bit: "0" 55784-55790 rgb_led_ws281x: bit: "1" @@ -6598,6 +7387,9 @@ 55907-55913 rgb_led_ws281x: bit: "1" 55913-55919 rgb_led_ws281x: bit: "1" 55919-55926 rgb_led_ws281x: bit: "1" +55778-55827 rgb_led_ws281x: g: "7d" +55827-55876 rgb_led_ws281x: r: "00" +55876-55926 rgb_led_ws281x: b: "ff" 55778-55926 rgb_led_ws281x: rgb: "#007dff" 55926-55932 rgb_led_ws281x: bit: "1" 55932-55938 rgb_led_ws281x: bit: "1" @@ -6623,6 +7415,9 @@ 56055-56061 rgb_led_ws281x: bit: "1" 56061-56067 rgb_led_ws281x: bit: "1" 56067-56074 rgb_led_ws281x: bit: "1" +55926-55975 rgb_led_ws281x: g: "ff" +55975-56024 rgb_led_ws281x: r: "00" +56024-56074 rgb_led_ws281x: b: "ef" 55926-56074 rgb_led_ws281x: rgb: "#00ffef" 56074-56080 rgb_led_ws281x: bit: "1" 56080-56086 rgb_led_ws281x: bit: "1" @@ -6648,6 +7443,9 @@ 56203-56209 rgb_led_ws281x: bit: "1" 56209-56215 rgb_led_ws281x: bit: "1" 56215-56222 rgb_led_ws281x: bit: "1" +56074-56123 rgb_led_ws281x: g: "ff" +56123-56172 rgb_led_ws281x: r: "00" +56172-56222 rgb_led_ws281x: b: "df" 56074-56222 rgb_led_ws281x: rgb: "#00ffdf" 56222-56228 rgb_led_ws281x: bit: "1" 56228-56234 rgb_led_ws281x: bit: "1" @@ -6673,6 +7471,9 @@ 56351-56357 rgb_led_ws281x: bit: "1" 56357-56363 rgb_led_ws281x: bit: "1" 56363-56370 rgb_led_ws281x: bit: "1" +56222-56271 rgb_led_ws281x: g: "f7" +56271-56320 rgb_led_ws281x: r: "00" +56320-56370 rgb_led_ws281x: b: "bf" 56222-56370 rgb_led_ws281x: rgb: "#00f7bf" 56370-56376 rgb_led_ws281x: bit: "1" 56376-56382 rgb_led_ws281x: bit: "1" @@ -6698,6 +7499,9 @@ 56499-56505 rgb_led_ws281x: bit: "1" 56505-56512 rgb_led_ws281x: bit: "1" 56512-56518 rgb_led_ws281x: bit: "1" +56370-56419 rgb_led_ws281x: g: "ef" +56419-56469 rgb_led_ws281x: r: "00" +56469-56518 rgb_led_ws281x: b: "7f" 56370-56518 rgb_led_ws281x: rgb: "#00ef7f" 56518-56524 rgb_led_ws281x: bit: "1" 56524-56531 rgb_led_ws281x: bit: "1" @@ -6723,6 +7527,9 @@ 56647-56654 rgb_led_ws281x: bit: "1" 56654-56660 rgb_led_ws281x: bit: "0" 56660-56666 rgb_led_ws281x: bit: "1" +56518-56567 rgb_led_ws281x: g: "df" +56567-56617 rgb_led_ws281x: r: "00" +56617-56666 rgb_led_ws281x: b: "fd" 56518-56666 rgb_led_ws281x: rgb: "#00dffd" 56666-56673 rgb_led_ws281x: bit: "1" 56673-56679 rgb_led_ws281x: bit: "0" @@ -6748,6 +7555,9 @@ 56796-56802 rgb_led_ws281x: bit: "0" 56802-56808 rgb_led_ws281x: bit: "1" 56808-56814 rgb_led_ws281x: bit: "1" +56666-56716 rgb_led_ws281x: g: "bf" +56716-56765 rgb_led_ws281x: r: "00" +56765-56814 rgb_led_ws281x: b: "fb" 56666-56814 rgb_led_ws281x: rgb: "#00bffb" 56814-56821 rgb_led_ws281x: bit: "1" 56821-56827 rgb_led_ws281x: bit: "1" @@ -6773,6 +7583,9 @@ 56944-56950 rgb_led_ws281x: bit: "1" 56950-56956 rgb_led_ws281x: bit: "1" 56956-56963 rgb_led_ws281x: bit: "1" +56814-56864 rgb_led_ws281x: g: "fe" +56864-56913 rgb_led_ws281x: r: "00" +56913-56963 rgb_led_ws281x: b: "f7" 56814-56963 rgb_led_ws281x: rgb: "#00fef7" 56963-56969 rgb_led_ws281x: bit: "0" 56969-56975 rgb_led_ws281x: bit: "1" @@ -6798,6 +7611,9 @@ 57092-57098 rgb_led_ws281x: bit: "1" 57098-57104 rgb_led_ws281x: bit: "1" 57104-57111 rgb_led_ws281x: bit: "1" +56963-57012 rgb_led_ws281x: g: "7d" +57012-57061 rgb_led_ws281x: r: "00" +57061-57111 rgb_led_ws281x: b: "ef" 56963-57111 rgb_led_ws281x: rgb: "#007def" 57111-57117 rgb_led_ws281x: bit: "1" 57117-57123 rgb_led_ws281x: bit: "1" @@ -6823,6 +7639,9 @@ 57240-57246 rgb_led_ws281x: bit: "1" 57246-57252 rgb_led_ws281x: bit: "1" 57252-57259 rgb_led_ws281x: bit: "1" +57111-57160 rgb_led_ws281x: g: "ff" +57160-57209 rgb_led_ws281x: r: "00" +57209-57259 rgb_led_ws281x: b: "ff" 57111-57259 rgb_led_ws281x: rgb: "#00ffff" 57259-57265 rgb_led_ws281x: bit: "1" 57265-57271 rgb_led_ws281x: bit: "1" @@ -6848,6 +7667,9 @@ 57388-57394 rgb_led_ws281x: bit: "1" 57394-57400 rgb_led_ws281x: bit: "1" 57400-57407 rgb_led_ws281x: bit: "1" +57259-57308 rgb_led_ws281x: g: "ff" +57308-57357 rgb_led_ws281x: r: "00" +57357-57407 rgb_led_ws281x: b: "df" 57259-57407 rgb_led_ws281x: rgb: "#00ffdf" 57407-57413 rgb_led_ws281x: bit: "1" 57413-57419 rgb_led_ws281x: bit: "1" @@ -6873,6 +7695,9 @@ 57536-57542 rgb_led_ws281x: bit: "1" 57542-57549 rgb_led_ws281x: bit: "1" 57549-57555 rgb_led_ws281x: bit: "0" +57407-57456 rgb_led_ws281x: g: "f7" +57456-57505 rgb_led_ws281x: r: "00" +57505-57555 rgb_led_ws281x: b: "be" 57407-57555 rgb_led_ws281x: rgb: "#00f7be" 57555-57561 rgb_led_ws281x: bit: "1" 57561-57567 rgb_led_ws281x: bit: "1" @@ -6898,6 +7723,9 @@ 57684-57690 rgb_led_ws281x: bit: "1" 57690-57697 rgb_led_ws281x: bit: "1" 57697-57703 rgb_led_ws281x: bit: "1" +57555-57604 rgb_led_ws281x: g: "ef" +57604-57654 rgb_led_ws281x: r: "00" +57654-57703 rgb_led_ws281x: b: "7f" 57555-57703 rgb_led_ws281x: rgb: "#00ef7f" 57703-57709 rgb_led_ws281x: bit: "1" 57709-57716 rgb_led_ws281x: bit: "1" @@ -6923,6 +7751,9 @@ 57832-57839 rgb_led_ws281x: bit: "1" 57839-57845 rgb_led_ws281x: bit: "1" 57845-57851 rgb_led_ws281x: bit: "1" +57703-57752 rgb_led_ws281x: g: "df" +57752-57802 rgb_led_ws281x: r: "00" +57802-57851 rgb_led_ws281x: b: "ff" 57703-57851 rgb_led_ws281x: rgb: "#00dfff" 57851-57858 rgb_led_ws281x: bit: "1" 57858-57864 rgb_led_ws281x: bit: "0" @@ -6948,6 +7779,9 @@ 57981-57987 rgb_led_ws281x: bit: "0" 57987-57993 rgb_led_ws281x: bit: "1" 57993-58000 rgb_led_ws281x: bit: "1" +57851-57901 rgb_led_ws281x: g: "bf" +57901-57950 rgb_led_ws281x: r: "00" +57950-58000 rgb_led_ws281x: b: "fb" 57851-58000 rgb_led_ws281x: rgb: "#00bffb" 58000-58006 rgb_led_ws281x: bit: "0" 58006-58012 rgb_led_ws281x: bit: "1" @@ -6973,6 +7807,9 @@ 58129-58135 rgb_led_ws281x: bit: "1" 58135-58141 rgb_led_ws281x: bit: "1" 58141-58148 rgb_led_ws281x: bit: "1" +58000-58049 rgb_led_ws281x: g: "7e" +58049-58098 rgb_led_ws281x: r: "00" +58098-58148 rgb_led_ws281x: b: "f7" 58000-58148 rgb_led_ws281x: rgb: "#007ef7" 58148-58154 rgb_led_ws281x: bit: "1" 58154-58160 rgb_led_ws281x: bit: "1" @@ -6998,6 +7835,9 @@ 58277-58283 rgb_led_ws281x: bit: "1" 58283-58289 rgb_led_ws281x: bit: "1" 58289-58296 rgb_led_ws281x: bit: "1" +58148-58197 rgb_led_ws281x: g: "fd" +58197-58246 rgb_led_ws281x: r: "00" +58246-58296 rgb_led_ws281x: b: "ef" 58148-58296 rgb_led_ws281x: rgb: "#00fdef" 58296-58302 rgb_led_ws281x: bit: "1" 58302-58308 rgb_led_ws281x: bit: "1" @@ -7023,6 +7863,9 @@ 58425-58431 rgb_led_ws281x: bit: "1" 58431-58437 rgb_led_ws281x: bit: "1" 58437-58444 rgb_led_ws281x: bit: "1" +58296-58345 rgb_led_ws281x: g: "fb" +58345-58394 rgb_led_ws281x: r: "00" +58394-58444 rgb_led_ws281x: b: "df" 58296-58444 rgb_led_ws281x: rgb: "#00fbdf" 58444-58450 rgb_led_ws281x: bit: "1" 58450-58456 rgb_led_ws281x: bit: "1" @@ -7048,6 +7891,9 @@ 58573-58579 rgb_led_ws281x: bit: "1" 58579-58585 rgb_led_ws281x: bit: "1" 58585-58592 rgb_led_ws281x: bit: "1" +58444-58493 rgb_led_ws281x: g: "ff" +58493-58542 rgb_led_ws281x: r: "00" +58542-58592 rgb_led_ws281x: b: "df" 58444-58592 rgb_led_ws281x: rgb: "#00ffdf" 58592-58598 rgb_led_ws281x: bit: "1" 58598-58604 rgb_led_ws281x: bit: "1" @@ -7073,6 +7919,9 @@ 58721-58727 rgb_led_ws281x: bit: "1" 58727-58734 rgb_led_ws281x: bit: "1" 58734-58740 rgb_led_ws281x: bit: "0" +58592-58641 rgb_led_ws281x: g: "f7" +58641-58690 rgb_led_ws281x: r: "00" +58690-58740 rgb_led_ws281x: b: "be" 58592-58740 rgb_led_ws281x: rgb: "#00f7be" 58740-58746 rgb_led_ws281x: bit: "1" 58746-58752 rgb_led_ws281x: bit: "1" @@ -7098,6 +7947,9 @@ 58869-58876 rgb_led_ws281x: bit: "1" 58876-58882 rgb_led_ws281x: bit: "0" 58882-58888 rgb_led_ws281x: bit: "1" +58740-58789 rgb_led_ws281x: g: "ef" +58789-58839 rgb_led_ws281x: r: "00" +58839-58888 rgb_led_ws281x: b: "7d" 58740-58888 rgb_led_ws281x: rgb: "#00ef7d" 58888-58894 rgb_led_ws281x: bit: "1" 58894-58901 rgb_led_ws281x: bit: "1" @@ -7123,6 +7975,9 @@ 59017-59024 rgb_led_ws281x: bit: "1" 59024-59030 rgb_led_ws281x: bit: "1" 59030-59036 rgb_led_ws281x: bit: "1" +58888-58937 rgb_led_ws281x: g: "df" +58937-58987 rgb_led_ws281x: r: "00" +58987-59036 rgb_led_ws281x: b: "ff" 58888-59036 rgb_led_ws281x: rgb: "#00dfff" 59036-59043 rgb_led_ws281x: bit: "1" 59043-59049 rgb_led_ws281x: bit: "0" @@ -7148,6 +8003,9 @@ 59166-59172 rgb_led_ws281x: bit: "0" 59172-59178 rgb_led_ws281x: bit: "1" 59178-59185 rgb_led_ws281x: bit: "1" +59036-59086 rgb_led_ws281x: g: "bf" +59086-59135 rgb_led_ws281x: r: "00" +59135-59185 rgb_led_ws281x: b: "fb" 59036-59185 rgb_led_ws281x: rgb: "#00bffb" 59185-59191 rgb_led_ws281x: bit: "0" 59191-59197 rgb_led_ws281x: bit: "1" @@ -7173,6 +8031,9 @@ 59314-59320 rgb_led_ws281x: bit: "1" 59320-59326 rgb_led_ws281x: bit: "1" 59326-59333 rgb_led_ws281x: bit: "1" +59185-59234 rgb_led_ws281x: g: "7e" +59234-59283 rgb_led_ws281x: r: "00" +59283-59333 rgb_led_ws281x: b: "f7" 59185-59333 rgb_led_ws281x: rgb: "#007ef7" 59333-59339 rgb_led_ws281x: bit: "1" 59339-59345 rgb_led_ws281x: bit: "1" @@ -7198,6 +8059,9 @@ 59462-59468 rgb_led_ws281x: bit: "1" 59468-59474 rgb_led_ws281x: bit: "1" 59474-59481 rgb_led_ws281x: bit: "1" +59333-59382 rgb_led_ws281x: g: "fd" +59382-59431 rgb_led_ws281x: r: "00" +59431-59481 rgb_led_ws281x: b: "ef" 59333-59481 rgb_led_ws281x: rgb: "#00fdef" 59481-59487 rgb_led_ws281x: bit: "1" 59487-59493 rgb_led_ws281x: bit: "1" @@ -7223,6 +8087,9 @@ 59610-59616 rgb_led_ws281x: bit: "1" 59616-59622 rgb_led_ws281x: bit: "1" 59622-59629 rgb_led_ws281x: bit: "1" +59481-59530 rgb_led_ws281x: g: "fb" +59530-59579 rgb_led_ws281x: r: "00" +59579-59629 rgb_led_ws281x: b: "df" 59481-59629 rgb_led_ws281x: rgb: "#00fbdf" 59629-59635 rgb_led_ws281x: bit: "1" 59635-59641 rgb_led_ws281x: bit: "1" @@ -7248,6 +8115,9 @@ 59758-59764 rgb_led_ws281x: bit: "1" 59764-59770 rgb_led_ws281x: bit: "1" 59770-59777 rgb_led_ws281x: bit: "1" +59629-59678 rgb_led_ws281x: g: "ff" +59678-59727 rgb_led_ws281x: r: "00" +59727-59777 rgb_led_ws281x: b: "bf" 59629-59777 rgb_led_ws281x: rgb: "#00ffbf" 59777-59783 rgb_led_ws281x: bit: "1" 59783-59789 rgb_led_ws281x: bit: "1" @@ -7273,6 +8143,9 @@ 59906-59912 rgb_led_ws281x: bit: "1" 59912-59919 rgb_led_ws281x: bit: "1" 59919-59925 rgb_led_ws281x: bit: "0" +59777-59826 rgb_led_ws281x: g: "f7" +59826-59875 rgb_led_ws281x: r: "00" +59875-59925 rgb_led_ws281x: b: "be" 59777-59925 rgb_led_ws281x: rgb: "#00f7be" 59925-59931 rgb_led_ws281x: bit: "1" 59931-59937 rgb_led_ws281x: bit: "1" @@ -7298,6 +8171,9 @@ 60054-60061 rgb_led_ws281x: bit: "1" 60061-60067 rgb_led_ws281x: bit: "0" 60067-60073 rgb_led_ws281x: bit: "1" +59925-59974 rgb_led_ws281x: g: "ef" +59974-60024 rgb_led_ws281x: r: "00" +60024-60073 rgb_led_ws281x: b: "7d" 59925-60073 rgb_led_ws281x: rgb: "#00ef7d" 60073-60079 rgb_led_ws281x: bit: "1" 60079-60086 rgb_led_ws281x: bit: "1" @@ -7323,6 +8199,9 @@ 60202-60209 rgb_led_ws281x: bit: "1" 60209-60215 rgb_led_ws281x: bit: "1" 60215-60221 rgb_led_ws281x: bit: "1" +60073-60123 rgb_led_ws281x: g: "df" +60123-60172 rgb_led_ws281x: r: "00" +60172-60221 rgb_led_ws281x: b: "ff" 60073-60221 rgb_led_ws281x: rgb: "#00dfff" 60221-60228 rgb_led_ws281x: bit: "1" 60228-60234 rgb_led_ws281x: bit: "0" @@ -7348,6 +8227,9 @@ 60351-60357 rgb_led_ws281x: bit: "1" 60357-60363 rgb_led_ws281x: bit: "1" 60363-60370 rgb_led_ws281x: bit: "1" +60221-60271 rgb_led_ws281x: g: "bf" +60271-60320 rgb_led_ws281x: r: "00" +60320-60370 rgb_led_ws281x: b: "ff" 60221-60370 rgb_led_ws281x: rgb: "#00bfff" 60370-60376 rgb_led_ws281x: bit: "0" 60376-60382 rgb_led_ws281x: bit: "1" @@ -7373,6 +8255,9 @@ 60499-60505 rgb_led_ws281x: bit: "1" 60505-60511 rgb_led_ws281x: bit: "1" 60511-60518 rgb_led_ws281x: bit: "1" +60370-60419 rgb_led_ws281x: g: "7e" +60419-60468 rgb_led_ws281x: r: "00" +60468-60518 rgb_led_ws281x: b: "f7" 60370-60518 rgb_led_ws281x: rgb: "#007ef7" 60518-60524 rgb_led_ws281x: bit: "1" 60524-60530 rgb_led_ws281x: bit: "1" @@ -7398,6 +8283,9 @@ 60647-60653 rgb_led_ws281x: bit: "1" 60653-60659 rgb_led_ws281x: bit: "1" 60659-60666 rgb_led_ws281x: bit: "1" +60518-60567 rgb_led_ws281x: g: "fd" +60567-60616 rgb_led_ws281x: r: "00" +60616-60666 rgb_led_ws281x: b: "ef" 60518-60666 rgb_led_ws281x: rgb: "#00fdef" 60666-60672 rgb_led_ws281x: bit: "1" 60672-60678 rgb_led_ws281x: bit: "1" @@ -7423,6 +8311,9 @@ 60795-60801 rgb_led_ws281x: bit: "1" 60801-60807 rgb_led_ws281x: bit: "1" 60807-60814 rgb_led_ws281x: bit: "1" +60666-60715 rgb_led_ws281x: g: "fb" +60715-60764 rgb_led_ws281x: r: "00" +60764-60814 rgb_led_ws281x: b: "df" 60666-60814 rgb_led_ws281x: rgb: "#00fbdf" 60814-60820 rgb_led_ws281x: bit: "1" 60820-60826 rgb_led_ws281x: bit: "1" @@ -7448,6 +8339,9 @@ 60943-60949 rgb_led_ws281x: bit: "1" 60949-60955 rgb_led_ws281x: bit: "1" 60955-60962 rgb_led_ws281x: bit: "1" +60814-60863 rgb_led_ws281x: g: "f7" +60863-60912 rgb_led_ws281x: r: "00" +60912-60962 rgb_led_ws281x: b: "bf" 60814-60962 rgb_led_ws281x: rgb: "#00f7bf" 60962-60968 rgb_led_ws281x: bit: "1" 60968-60974 rgb_led_ws281x: bit: "1" @@ -7473,6 +8367,9 @@ 61091-61097 rgb_led_ws281x: bit: "1" 61097-61104 rgb_led_ws281x: bit: "1" 61104-61110 rgb_led_ws281x: bit: "0" +60962-61011 rgb_led_ws281x: g: "ff" +61011-61060 rgb_led_ws281x: r: "00" +61060-61110 rgb_led_ws281x: b: "fe" 60962-61110 rgb_led_ws281x: rgb: "#00fffe" 61110-61116 rgb_led_ws281x: bit: "1" 61116-61122 rgb_led_ws281x: bit: "1" @@ -7498,6 +8395,9 @@ 61239-61246 rgb_led_ws281x: bit: "1" 61246-61252 rgb_led_ws281x: bit: "0" 61252-61258 rgb_led_ws281x: bit: "1" +61110-61159 rgb_led_ws281x: g: "ef" +61159-61209 rgb_led_ws281x: r: "00" +61209-61258 rgb_led_ws281x: b: "7d" 61110-61258 rgb_led_ws281x: rgb: "#00ef7d" 61258-61264 rgb_led_ws281x: bit: "1" 61264-61271 rgb_led_ws281x: bit: "1" @@ -7523,6 +8423,9 @@ 61388-61394 rgb_led_ws281x: bit: "0" 61394-61400 rgb_led_ws281x: bit: "1" 61400-61406 rgb_led_ws281x: bit: "1" +61258-61308 rgb_led_ws281x: g: "df" +61308-61357 rgb_led_ws281x: r: "00" +61357-61406 rgb_led_ws281x: b: "fb" 61258-61406 rgb_led_ws281x: rgb: "#00dffb" 61406-61413 rgb_led_ws281x: bit: "1" 61413-61419 rgb_led_ws281x: bit: "0" @@ -7548,6 +8451,9 @@ 61536-61542 rgb_led_ws281x: bit: "1" 61542-61548 rgb_led_ws281x: bit: "1" 61548-61555 rgb_led_ws281x: bit: "1" +61406-61456 rgb_led_ws281x: g: "bf" +61456-61505 rgb_led_ws281x: r: "00" +61505-61555 rgb_led_ws281x: b: "ff" 61406-61555 rgb_led_ws281x: rgb: "#00bfff" 61555-61561 rgb_led_ws281x: bit: "0" 61561-61567 rgb_led_ws281x: bit: "1" @@ -7573,6 +8479,9 @@ 61684-61690 rgb_led_ws281x: bit: "1" 61690-61696 rgb_led_ws281x: bit: "1" 61696-61703 rgb_led_ws281x: bit: "1" +61555-61604 rgb_led_ws281x: g: "7f" +61604-61653 rgb_led_ws281x: r: "00" +61653-61703 rgb_led_ws281x: b: "f7" 61555-61703 rgb_led_ws281x: rgb: "#007ff7" 61703-61709 rgb_led_ws281x: bit: "1" 61709-61715 rgb_led_ws281x: bit: "1" @@ -7598,6 +8507,9 @@ 61832-61838 rgb_led_ws281x: bit: "1" 61838-61844 rgb_led_ws281x: bit: "1" 61844-61851 rgb_led_ws281x: bit: "1" +61703-61752 rgb_led_ws281x: g: "fd" +61752-61801 rgb_led_ws281x: r: "00" +61801-61851 rgb_led_ws281x: b: "ef" 61703-61851 rgb_led_ws281x: rgb: "#00fdef" 61851-61857 rgb_led_ws281x: bit: "1" 61857-61863 rgb_led_ws281x: bit: "1" @@ -7623,6 +8535,9 @@ 61980-61986 rgb_led_ws281x: bit: "1" 61986-61992 rgb_led_ws281x: bit: "1" 61992-61999 rgb_led_ws281x: bit: "1" +61851-61900 rgb_led_ws281x: g: "fb" +61900-61949 rgb_led_ws281x: r: "00" +61949-61999 rgb_led_ws281x: b: "df" 61851-61999 rgb_led_ws281x: rgb: "#00fbdf" 61999-62005 rgb_led_ws281x: bit: "1" 62005-62011 rgb_led_ws281x: bit: "1" @@ -7648,6 +8563,9 @@ 62128-62134 rgb_led_ws281x: bit: "1" 62134-62140 rgb_led_ws281x: bit: "1" 62140-62147 rgb_led_ws281x: bit: "1" +61999-62048 rgb_led_ws281x: g: "f7" +62048-62097 rgb_led_ws281x: r: "00" +62097-62147 rgb_led_ws281x: b: "bf" 61999-62147 rgb_led_ws281x: rgb: "#00f7bf" 62147-62153 rgb_led_ws281x: bit: "1" 62153-62159 rgb_led_ws281x: bit: "1" @@ -7673,6 +8591,9 @@ 62276-62282 rgb_led_ws281x: bit: "1" 62282-62289 rgb_led_ws281x: bit: "1" 62289-62295 rgb_led_ws281x: bit: "0" +62147-62196 rgb_led_ws281x: g: "ef" +62196-62246 rgb_led_ws281x: r: "00" +62246-62295 rgb_led_ws281x: b: "7e" 62147-62295 rgb_led_ws281x: rgb: "#00ef7e" 62295-62301 rgb_led_ws281x: bit: "1" 62301-62307 rgb_led_ws281x: bit: "1" @@ -7698,6 +8619,9 @@ 62424-62431 rgb_led_ws281x: bit: "1" 62431-62437 rgb_led_ws281x: bit: "0" 62437-62443 rgb_led_ws281x: bit: "1" +62295-62344 rgb_led_ws281x: g: "ff" +62344-62394 rgb_led_ws281x: r: "00" +62394-62443 rgb_led_ws281x: b: "fd" 62295-62443 rgb_led_ws281x: rgb: "#00fffd" 62443-62449 rgb_led_ws281x: bit: "1" 62449-62456 rgb_led_ws281x: bit: "1" @@ -7723,6 +8647,9 @@ 62573-62579 rgb_led_ws281x: bit: "0" 62579-62585 rgb_led_ws281x: bit: "1" 62585-62591 rgb_led_ws281x: bit: "1" +62443-62493 rgb_led_ws281x: g: "df" +62493-62542 rgb_led_ws281x: r: "00" +62542-62591 rgb_led_ws281x: b: "fb" 62443-62591 rgb_led_ws281x: rgb: "#00dffb" 62591-62598 rgb_led_ws281x: bit: "1" 62598-62604 rgb_led_ws281x: bit: "0" @@ -7748,6 +8675,9 @@ 62721-62727 rgb_led_ws281x: bit: "1" 62727-62733 rgb_led_ws281x: bit: "1" 62733-62740 rgb_led_ws281x: bit: "1" +62591-62641 rgb_led_ws281x: g: "be" +62641-62690 rgb_led_ws281x: r: "00" +62690-62740 rgb_led_ws281x: b: "ff" 62591-62740 rgb_led_ws281x: rgb: "#00beff" 62740-62746 rgb_led_ws281x: bit: "0" 62746-62752 rgb_led_ws281x: bit: "1" @@ -7773,6 +8703,9 @@ 62869-62875 rgb_led_ws281x: bit: "1" 62875-62881 rgb_led_ws281x: bit: "1" 62881-62888 rgb_led_ws281x: bit: "1" +62740-62789 rgb_led_ws281x: g: "7f" +62789-62838 rgb_led_ws281x: r: "00" +62838-62888 rgb_led_ws281x: b: "f7" 62740-62888 rgb_led_ws281x: rgb: "#007ff7" 62888-62894 rgb_led_ws281x: bit: "1" 62894-62900 rgb_led_ws281x: bit: "1" @@ -7798,6 +8731,9 @@ 63017-63023 rgb_led_ws281x: bit: "1" 63023-63029 rgb_led_ws281x: bit: "1" 63029-63036 rgb_led_ws281x: bit: "1" +62888-62937 rgb_led_ws281x: g: "fd" +62937-62986 rgb_led_ws281x: r: "00" +62986-63036 rgb_led_ws281x: b: "ef" 62888-63036 rgb_led_ws281x: rgb: "#00fdef" 63036-63042 rgb_led_ws281x: bit: "1" 63042-63048 rgb_led_ws281x: bit: "1" @@ -7823,6 +8759,9 @@ 63165-63171 rgb_led_ws281x: bit: "1" 63171-63177 rgb_led_ws281x: bit: "1" 63177-63184 rgb_led_ws281x: bit: "1" +63036-63085 rgb_led_ws281x: g: "fb" +63085-63134 rgb_led_ws281x: r: "00" +63134-63184 rgb_led_ws281x: b: "df" 63036-63184 rgb_led_ws281x: rgb: "#00fbdf" 63184-63190 rgb_led_ws281x: bit: "1" 63190-63196 rgb_led_ws281x: bit: "1" @@ -7848,6 +8787,9 @@ 63313-63319 rgb_led_ws281x: bit: "1" 63319-63325 rgb_led_ws281x: bit: "1" 63325-63332 rgb_led_ws281x: bit: "1" +63184-63233 rgb_led_ws281x: g: "f7" +63233-63282 rgb_led_ws281x: r: "00" +63282-63332 rgb_led_ws281x: b: "bf" 63184-63332 rgb_led_ws281x: rgb: "#00f7bf" 63332-63338 rgb_led_ws281x: bit: "1" 63338-63344 rgb_led_ws281x: bit: "1" @@ -7873,6 +8815,9 @@ 63461-63467 rgb_led_ws281x: bit: "1" 63467-63474 rgb_led_ws281x: bit: "1" 63474-63480 rgb_led_ws281x: bit: "0" +63332-63381 rgb_led_ws281x: g: "ef" +63381-63431 rgb_led_ws281x: r: "00" +63431-63480 rgb_led_ws281x: b: "7e" 63332-63480 rgb_led_ws281x: rgb: "#00ef7e" 63480-63486 rgb_led_ws281x: bit: "1" 63486-63492 rgb_led_ws281x: bit: "1" @@ -7898,6 +8843,9 @@ 63609-63616 rgb_led_ws281x: bit: "1" 63616-63622 rgb_led_ws281x: bit: "0" 63622-63628 rgb_led_ws281x: bit: "1" +63480-63529 rgb_led_ws281x: g: "ff" +63529-63579 rgb_led_ws281x: r: "00" +63579-63628 rgb_led_ws281x: b: "fd" 63480-63628 rgb_led_ws281x: rgb: "#00fffd" 63628-63634 rgb_led_ws281x: bit: "1" 63634-63641 rgb_led_ws281x: bit: "1" @@ -7923,6 +8871,9 @@ 63758-63764 rgb_led_ws281x: bit: "0" 63764-63770 rgb_led_ws281x: bit: "1" 63770-63776 rgb_led_ws281x: bit: "1" +63628-63678 rgb_led_ws281x: g: "ff" +63678-63727 rgb_led_ws281x: r: "00" +63727-63776 rgb_led_ws281x: b: "fb" 63628-63776 rgb_led_ws281x: rgb: "#00fffb" 63776-63783 rgb_led_ws281x: bit: "1" 63783-63789 rgb_led_ws281x: bit: "0" @@ -7948,6 +8899,9 @@ 63906-63912 rgb_led_ws281x: bit: "1" 63912-63918 rgb_led_ws281x: bit: "1" 63918-63925 rgb_led_ws281x: bit: "1" +63776-63826 rgb_led_ws281x: g: "be" +63826-63875 rgb_led_ws281x: r: "00" +63875-63925 rgb_led_ws281x: b: "f7" 63776-63925 rgb_led_ws281x: rgb: "#00bef7" 63925-63931 rgb_led_ws281x: bit: "0" 63931-63937 rgb_led_ws281x: bit: "1" @@ -7973,6 +8927,9 @@ 64054-64060 rgb_led_ws281x: bit: "1" 64060-64066 rgb_led_ws281x: bit: "1" 64066-64073 rgb_led_ws281x: bit: "1" +63925-63974 rgb_led_ws281x: g: "7d" +63974-64023 rgb_led_ws281x: r: "00" +64023-64073 rgb_led_ws281x: b: "f7" 63925-64073 rgb_led_ws281x: rgb: "#007df7" 64073-64079 rgb_led_ws281x: bit: "1" 64079-64085 rgb_led_ws281x: bit: "1" @@ -7998,6 +8955,9 @@ 64202-64208 rgb_led_ws281x: bit: "1" 64208-64214 rgb_led_ws281x: bit: "1" 64214-64221 rgb_led_ws281x: bit: "1" +64073-64122 rgb_led_ws281x: g: "ff" +64122-64171 rgb_led_ws281x: r: "00" +64171-64221 rgb_led_ws281x: b: "ef" 64073-64221 rgb_led_ws281x: rgb: "#00ffef" 64221-64227 rgb_led_ws281x: bit: "1" 64227-64233 rgb_led_ws281x: bit: "1" @@ -8023,6 +8983,9 @@ 64350-64356 rgb_led_ws281x: bit: "1" 64356-64362 rgb_led_ws281x: bit: "1" 64362-64369 rgb_led_ws281x: bit: "1" +64221-64270 rgb_led_ws281x: g: "fb" +64270-64319 rgb_led_ws281x: r: "00" +64319-64369 rgb_led_ws281x: b: "df" 64221-64369 rgb_led_ws281x: rgb: "#00fbdf" 64369-64375 rgb_led_ws281x: bit: "1" 64375-64381 rgb_led_ws281x: bit: "1" @@ -8048,6 +9011,9 @@ 64498-64504 rgb_led_ws281x: bit: "1" 64504-64510 rgb_led_ws281x: bit: "1" 64510-64517 rgb_led_ws281x: bit: "1" +64369-64418 rgb_led_ws281x: g: "f7" +64418-64467 rgb_led_ws281x: r: "00" +64467-64517 rgb_led_ws281x: b: "bf" 64369-64517 rgb_led_ws281x: rgb: "#00f7bf" 64517-64523 rgb_led_ws281x: bit: "1" 64523-64529 rgb_led_ws281x: bit: "1" @@ -8073,6 +9039,9 @@ 64646-64652 rgb_led_ws281x: bit: "1" 64652-64659 rgb_led_ws281x: bit: "1" 64659-64665 rgb_led_ws281x: bit: "0" +64517-64566 rgb_led_ws281x: g: "ef" +64566-64616 rgb_led_ws281x: r: "00" +64616-64665 rgb_led_ws281x: b: "7e" 64517-64665 rgb_led_ws281x: rgb: "#00ef7e" 64665-64671 rgb_led_ws281x: bit: "1" 64671-64678 rgb_led_ws281x: bit: "1" @@ -8098,6 +9067,9 @@ 64794-64801 rgb_led_ws281x: bit: "1" 64801-64807 rgb_led_ws281x: bit: "0" 64807-64813 rgb_led_ws281x: bit: "1" +64665-64714 rgb_led_ws281x: g: "df" +64714-64764 rgb_led_ws281x: r: "00" +64764-64813 rgb_led_ws281x: b: "fd" 64665-64813 rgb_led_ws281x: rgb: "#00dffd" 64813-64819 rgb_led_ws281x: bit: "1" 64819-64826 rgb_led_ws281x: bit: "1" @@ -8123,6 +9095,9 @@ 64943-64949 rgb_led_ws281x: bit: "0" 64949-64955 rgb_led_ws281x: bit: "1" 64955-64961 rgb_led_ws281x: bit: "1" +64813-64863 rgb_led_ws281x: g: "ff" +64863-64912 rgb_led_ws281x: r: "00" +64912-64961 rgb_led_ws281x: b: "fb" 64813-64961 rgb_led_ws281x: rgb: "#00fffb" 64961-64968 rgb_led_ws281x: bit: "1" 64968-64974 rgb_led_ws281x: bit: "1" @@ -8148,6 +9123,9 @@ 65091-65097 rgb_led_ws281x: bit: "1" 65097-65103 rgb_led_ws281x: bit: "1" 65103-65110 rgb_led_ws281x: bit: "1" +64961-65011 rgb_led_ws281x: g: "fe" +65011-65060 rgb_led_ws281x: r: "00" +65060-65110 rgb_led_ws281x: b: "f7" 64961-65110 rgb_led_ws281x: rgb: "#00fef7" 65110-65116 rgb_led_ws281x: bit: "0" 65116-65122 rgb_led_ws281x: bit: "1" @@ -8173,6 +9151,9 @@ 65239-65245 rgb_led_ws281x: bit: "1" 65245-65251 rgb_led_ws281x: bit: "1" 65251-65258 rgb_led_ws281x: bit: "1" +65110-65159 rgb_led_ws281x: g: "7d" +65159-65208 rgb_led_ws281x: r: "00" +65208-65258 rgb_led_ws281x: b: "ff" 65110-65258 rgb_led_ws281x: rgb: "#007dff" 65258-65264 rgb_led_ws281x: bit: "1" 65264-65270 rgb_led_ws281x: bit: "1" @@ -8198,6 +9179,9 @@ 65387-65393 rgb_led_ws281x: bit: "1" 65393-65399 rgb_led_ws281x: bit: "1" 65399-65406 rgb_led_ws281x: bit: "1" +65258-65307 rgb_led_ws281x: g: "ff" +65307-65356 rgb_led_ws281x: r: "00" +65356-65406 rgb_led_ws281x: b: "ef" 65258-65406 rgb_led_ws281x: rgb: "#00ffef" 65406-65412 rgb_led_ws281x: bit: "1" 65412-65418 rgb_led_ws281x: bit: "1" @@ -8223,6 +9207,9 @@ 65535-65541 rgb_led_ws281x: bit: "1" 65541-65547 rgb_led_ws281x: bit: "1" 65547-65554 rgb_led_ws281x: bit: "1" +65406-65455 rgb_led_ws281x: g: "ff" +65455-65504 rgb_led_ws281x: r: "00" +65504-65554 rgb_led_ws281x: b: "df" 65406-65554 rgb_led_ws281x: rgb: "#00ffdf" 65554-65560 rgb_led_ws281x: bit: "1" 65560-65566 rgb_led_ws281x: bit: "1" @@ -8248,6 +9235,9 @@ 65683-65689 rgb_led_ws281x: bit: "1" 65689-65695 rgb_led_ws281x: bit: "1" 65695-65702 rgb_led_ws281x: bit: "1" +65554-65603 rgb_led_ws281x: g: "f7" +65603-65652 rgb_led_ws281x: r: "00" +65652-65702 rgb_led_ws281x: b: "bf" 65554-65702 rgb_led_ws281x: rgb: "#00f7bf" 65702-65708 rgb_led_ws281x: bit: "1" 65708-65714 rgb_led_ws281x: bit: "1" @@ -8273,6 +9263,9 @@ 65831-65837 rgb_led_ws281x: bit: "1" 65837-65844 rgb_led_ws281x: bit: "1" 65844-65850 rgb_led_ws281x: bit: "0" +65702-65751 rgb_led_ws281x: g: "ef" +65751-65801 rgb_led_ws281x: r: "00" +65801-65850 rgb_led_ws281x: b: "7e" 65702-65850 rgb_led_ws281x: rgb: "#00ef7e" 65850-65856 rgb_led_ws281x: bit: "1" 65856-65863 rgb_led_ws281x: bit: "1" @@ -8298,6 +9291,9 @@ 65979-65986 rgb_led_ws281x: bit: "1" 65986-65992 rgb_led_ws281x: bit: "0" 65992-65998 rgb_led_ws281x: bit: "1" +65850-65899 rgb_led_ws281x: g: "df" +65899-65949 rgb_led_ws281x: r: "00" +65949-65998 rgb_led_ws281x: b: "fd" 65850-65998 rgb_led_ws281x: rgb: "#00dffd" 65998-66005 rgb_led_ws281x: bit: "1" 66005-66011 rgb_led_ws281x: bit: "0" @@ -8323,6 +9319,9 @@ 66128-66134 rgb_led_ws281x: bit: "0" 66134-66140 rgb_led_ws281x: bit: "1" 66140-66146 rgb_led_ws281x: bit: "1" +65998-66048 rgb_led_ws281x: g: "bf" +66048-66097 rgb_led_ws281x: r: "00" +66097-66146 rgb_led_ws281x: b: "fb" 65998-66146 rgb_led_ws281x: rgb: "#00bffb" 66146-66153 rgb_led_ws281x: bit: "1" 66153-66159 rgb_led_ws281x: bit: "1" @@ -8348,6 +9347,9 @@ 66276-66282 rgb_led_ws281x: bit: "1" 66282-66288 rgb_led_ws281x: bit: "1" 66288-66295 rgb_led_ws281x: bit: "1" +66146-66196 rgb_led_ws281x: g: "fe" +66196-66245 rgb_led_ws281x: r: "00" +66245-66295 rgb_led_ws281x: b: "f7" 66146-66295 rgb_led_ws281x: rgb: "#00fef7" 66295-66301 rgb_led_ws281x: bit: "0" 66301-66307 rgb_led_ws281x: bit: "1" @@ -8373,6 +9375,9 @@ 66424-66430 rgb_led_ws281x: bit: "1" 66430-66436 rgb_led_ws281x: bit: "1" 66436-66443 rgb_led_ws281x: bit: "1" +66295-66344 rgb_led_ws281x: g: "7d" +66344-66393 rgb_led_ws281x: r: "00" +66393-66443 rgb_led_ws281x: b: "ef" 66295-66443 rgb_led_ws281x: rgb: "#007def" 66443-66449 rgb_led_ws281x: bit: "1" 66449-66455 rgb_led_ws281x: bit: "1" @@ -8398,6 +9403,9 @@ 66572-66578 rgb_led_ws281x: bit: "1" 66578-66584 rgb_led_ws281x: bit: "1" 66584-66591 rgb_led_ws281x: bit: "1" +66443-66492 rgb_led_ws281x: g: "ff" +66492-66541 rgb_led_ws281x: r: "00" +66541-66591 rgb_led_ws281x: b: "ff" 66443-66591 rgb_led_ws281x: rgb: "#00ffff" 66591-66597 rgb_led_ws281x: bit: "1" 66597-66603 rgb_led_ws281x: bit: "1" @@ -8423,6 +9431,9 @@ 66720-66726 rgb_led_ws281x: bit: "1" 66726-66732 rgb_led_ws281x: bit: "1" 66732-66739 rgb_led_ws281x: bit: "1" +66591-66640 rgb_led_ws281x: g: "ff" +66640-66689 rgb_led_ws281x: r: "00" +66689-66739 rgb_led_ws281x: b: "df" 66591-66739 rgb_led_ws281x: rgb: "#00ffdf" 66739-66745 rgb_led_ws281x: bit: "1" 66745-66751 rgb_led_ws281x: bit: "1" @@ -8448,6 +9459,9 @@ 66868-66874 rgb_led_ws281x: bit: "1" 66874-66881 rgb_led_ws281x: bit: "1" 66881-66887 rgb_led_ws281x: bit: "0" +66739-66788 rgb_led_ws281x: g: "f7" +66788-66837 rgb_led_ws281x: r: "00" +66837-66887 rgb_led_ws281x: b: "be" 66739-66887 rgb_led_ws281x: rgb: "#00f7be" 66887-66893 rgb_led_ws281x: bit: "1" 66893-66899 rgb_led_ws281x: bit: "1" @@ -8473,6 +9487,9 @@ 67016-67022 rgb_led_ws281x: bit: "1" 67022-67029 rgb_led_ws281x: bit: "1" 67029-67035 rgb_led_ws281x: bit: "1" +66887-66936 rgb_led_ws281x: g: "ef" +66936-66986 rgb_led_ws281x: r: "00" +66986-67035 rgb_led_ws281x: b: "7f" 66887-67035 rgb_led_ws281x: rgb: "#00ef7f" 67035-67041 rgb_led_ws281x: bit: "1" 67041-67048 rgb_led_ws281x: bit: "1" @@ -8498,6 +9515,9 @@ 67164-67171 rgb_led_ws281x: bit: "1" 67171-67177 rgb_led_ws281x: bit: "0" 67177-67183 rgb_led_ws281x: bit: "1" +67035-67084 rgb_led_ws281x: g: "df" +67084-67134 rgb_led_ws281x: r: "00" +67134-67183 rgb_led_ws281x: b: "fd" 67035-67183 rgb_led_ws281x: rgb: "#00dffd" 67183-67190 rgb_led_ws281x: bit: "1" 67190-67196 rgb_led_ws281x: bit: "0" @@ -8523,6 +9543,9 @@ 67313-67319 rgb_led_ws281x: bit: "0" 67319-67325 rgb_led_ws281x: bit: "1" 67325-67331 rgb_led_ws281x: bit: "1" +67183-67233 rgb_led_ws281x: g: "bf" +67233-67282 rgb_led_ws281x: r: "00" +67282-67331 rgb_led_ws281x: b: "fb" 67183-67331 rgb_led_ws281x: rgb: "#00bffb" 67331-67338 rgb_led_ws281x: bit: "1" 67338-67344 rgb_led_ws281x: bit: "1" @@ -8548,6 +9571,9 @@ 67461-67467 rgb_led_ws281x: bit: "1" 67467-67473 rgb_led_ws281x: bit: "1" 67473-67480 rgb_led_ws281x: bit: "1" +67331-67381 rgb_led_ws281x: g: "fe" +67381-67430 rgb_led_ws281x: r: "00" +67430-67480 rgb_led_ws281x: b: "f7" 67331-67480 rgb_led_ws281x: rgb: "#00fef7" 67480-67486 rgb_led_ws281x: bit: "1" 67486-67492 rgb_led_ws281x: bit: "1" @@ -8573,6 +9599,9 @@ 67609-67615 rgb_led_ws281x: bit: "1" 67615-67621 rgb_led_ws281x: bit: "1" 67621-67628 rgb_led_ws281x: bit: "1" +67480-67529 rgb_led_ws281x: g: "fd" +67529-67578 rgb_led_ws281x: r: "00" +67578-67628 rgb_led_ws281x: b: "ef" 67480-67628 rgb_led_ws281x: rgb: "#00fdef" 67628-67634 rgb_led_ws281x: bit: "1" 67634-67640 rgb_led_ws281x: bit: "1" @@ -8598,6 +9627,9 @@ 67757-67763 rgb_led_ws281x: bit: "1" 67763-67769 rgb_led_ws281x: bit: "1" 67769-67776 rgb_led_ws281x: bit: "1" +67628-67677 rgb_led_ws281x: g: "ff" +67677-67726 rgb_led_ws281x: r: "00" +67726-67776 rgb_led_ws281x: b: "df" 67628-67776 rgb_led_ws281x: rgb: "#00ffdf" 67776-67782 rgb_led_ws281x: bit: "1" 67782-67788 rgb_led_ws281x: bit: "1" @@ -8623,6 +9655,9 @@ 67905-67911 rgb_led_ws281x: bit: "1" 67911-67917 rgb_led_ws281x: bit: "1" 67917-67924 rgb_led_ws281x: bit: "1" +67776-67825 rgb_led_ws281x: g: "ff" +67825-67874 rgb_led_ws281x: r: "00" +67874-67924 rgb_led_ws281x: b: "df" 67776-67924 rgb_led_ws281x: rgb: "#00ffdf" 67924-67930 rgb_led_ws281x: bit: "1" 67930-67936 rgb_led_ws281x: bit: "1" @@ -8648,6 +9683,9 @@ 68053-68059 rgb_led_ws281x: bit: "1" 68059-68066 rgb_led_ws281x: bit: "1" 68066-68072 rgb_led_ws281x: bit: "0" +67924-67973 rgb_led_ws281x: g: "f7" +67973-68022 rgb_led_ws281x: r: "00" +68022-68072 rgb_led_ws281x: b: "be" 67924-68072 rgb_led_ws281x: rgb: "#00f7be" 68072-68078 rgb_led_ws281x: bit: "1" 68078-68084 rgb_led_ws281x: bit: "1" @@ -8673,6 +9711,9 @@ 68201-68208 rgb_led_ws281x: bit: "1" 68208-68214 rgb_led_ws281x: bit: "0" 68214-68220 rgb_led_ws281x: bit: "1" +68072-68121 rgb_led_ws281x: g: "ef" +68121-68171 rgb_led_ws281x: r: "00" +68171-68220 rgb_led_ws281x: b: "7d" 68072-68220 rgb_led_ws281x: rgb: "#00ef7d" 68220-68226 rgb_led_ws281x: bit: "1" 68226-68233 rgb_led_ws281x: bit: "1" @@ -8698,6 +9739,9 @@ 68349-68356 rgb_led_ws281x: bit: "1" 68356-68362 rgb_led_ws281x: bit: "1" 68362-68368 rgb_led_ws281x: bit: "1" +68220-68269 rgb_led_ws281x: g: "df" +68269-68319 rgb_led_ws281x: r: "00" +68319-68368 rgb_led_ws281x: b: "ff" 68220-68368 rgb_led_ws281x: rgb: "#00dfff" 68368-68375 rgb_led_ws281x: bit: "1" 68375-68381 rgb_led_ws281x: bit: "0" @@ -8723,6 +9767,9 @@ 68498-68504 rgb_led_ws281x: bit: "0" 68504-68510 rgb_led_ws281x: bit: "1" 68510-68517 rgb_led_ws281x: bit: "1" +68368-68418 rgb_led_ws281x: g: "bf" +68418-68467 rgb_led_ws281x: r: "00" +68467-68517 rgb_led_ws281x: b: "fb" 68368-68517 rgb_led_ws281x: rgb: "#00bffb" 68517-68523 rgb_led_ws281x: bit: "0" 68523-68529 rgb_led_ws281x: bit: "1" @@ -8748,6 +9795,9 @@ 68646-68652 rgb_led_ws281x: bit: "1" 68652-68658 rgb_led_ws281x: bit: "1" 68658-68665 rgb_led_ws281x: bit: "1" +68517-68566 rgb_led_ws281x: g: "7e" +68566-68615 rgb_led_ws281x: r: "00" +68615-68665 rgb_led_ws281x: b: "f7" 68517-68665 rgb_led_ws281x: rgb: "#007ef7" 68665-68671 rgb_led_ws281x: bit: "1" 68671-68677 rgb_led_ws281x: bit: "1" @@ -8773,6 +9823,9 @@ 68794-68800 rgb_led_ws281x: bit: "1" 68800-68806 rgb_led_ws281x: bit: "1" 68806-68813 rgb_led_ws281x: bit: "1" +68665-68714 rgb_led_ws281x: g: "fd" +68714-68763 rgb_led_ws281x: r: "00" +68763-68813 rgb_led_ws281x: b: "ef" 68665-68813 rgb_led_ws281x: rgb: "#00fdef" 68813-68819 rgb_led_ws281x: bit: "1" 68819-68825 rgb_led_ws281x: bit: "1" @@ -8798,6 +9851,9 @@ 68942-68948 rgb_led_ws281x: bit: "1" 68948-68954 rgb_led_ws281x: bit: "1" 68954-68961 rgb_led_ws281x: bit: "1" +68813-68862 rgb_led_ws281x: g: "fb" +68862-68911 rgb_led_ws281x: r: "00" +68911-68961 rgb_led_ws281x: b: "df" 68813-68961 rgb_led_ws281x: rgb: "#00fbdf" 68961-68967 rgb_led_ws281x: bit: "1" 68967-68973 rgb_led_ws281x: bit: "1" @@ -8823,6 +9879,9 @@ 69090-69096 rgb_led_ws281x: bit: "1" 69096-69102 rgb_led_ws281x: bit: "1" 69102-69109 rgb_led_ws281x: bit: "1" +68961-69010 rgb_led_ws281x: g: "ff" +69010-69059 rgb_led_ws281x: r: "00" +69059-69109 rgb_led_ws281x: b: "ff" 68961-69109 rgb_led_ws281x: rgb: "#00ffff" 69109-69115 rgb_led_ws281x: bit: "1" 69115-69121 rgb_led_ws281x: bit: "1" @@ -8848,6 +9907,9 @@ 69238-69244 rgb_led_ws281x: bit: "1" 69244-69251 rgb_led_ws281x: bit: "1" 69251-69257 rgb_led_ws281x: bit: "0" +69109-69158 rgb_led_ws281x: g: "f7" +69158-69207 rgb_led_ws281x: r: "00" +69207-69257 rgb_led_ws281x: b: "be" 69109-69257 rgb_led_ws281x: rgb: "#00f7be" 69257-69263 rgb_led_ws281x: bit: "1" 69263-69269 rgb_led_ws281x: bit: "1" @@ -8873,6 +9935,9 @@ 69386-69393 rgb_led_ws281x: bit: "1" 69393-69399 rgb_led_ws281x: bit: "0" 69399-69405 rgb_led_ws281x: bit: "1" +69257-69306 rgb_led_ws281x: g: "ef" +69306-69356 rgb_led_ws281x: r: "00" +69356-69405 rgb_led_ws281x: b: "7d" 69257-69405 rgb_led_ws281x: rgb: "#00ef7d" 69405-69411 rgb_led_ws281x: bit: "1" 69411-69418 rgb_led_ws281x: bit: "1" @@ -8898,6 +9963,9 @@ 69534-69541 rgb_led_ws281x: bit: "1" 69541-69547 rgb_led_ws281x: bit: "1" 69547-69553 rgb_led_ws281x: bit: "1" +69405-69454 rgb_led_ws281x: g: "df" +69454-69504 rgb_led_ws281x: r: "00" +69504-69553 rgb_led_ws281x: b: "ff" 69405-69553 rgb_led_ws281x: rgb: "#00dfff" 69553-69560 rgb_led_ws281x: bit: "1" 69560-69566 rgb_led_ws281x: bit: "0" @@ -8923,6 +9991,9 @@ 69683-69689 rgb_led_ws281x: bit: "0" 69689-69695 rgb_led_ws281x: bit: "1" 69695-69702 rgb_led_ws281x: bit: "1" +69553-69603 rgb_led_ws281x: g: "bf" +69603-69652 rgb_led_ws281x: r: "00" +69652-69702 rgb_led_ws281x: b: "fb" 69553-69702 rgb_led_ws281x: rgb: "#00bffb" 69702-69708 rgb_led_ws281x: bit: "0" 69708-69714 rgb_led_ws281x: bit: "1" @@ -8948,6 +10019,9 @@ 69831-69837 rgb_led_ws281x: bit: "1" 69837-69843 rgb_led_ws281x: bit: "1" 69843-69850 rgb_led_ws281x: bit: "1" +69702-69751 rgb_led_ws281x: g: "7e" +69751-69800 rgb_led_ws281x: r: "00" +69800-69850 rgb_led_ws281x: b: "f7" 69702-69850 rgb_led_ws281x: rgb: "#007ef7" 69850-69856 rgb_led_ws281x: bit: "1" 69856-69862 rgb_led_ws281x: bit: "1" @@ -8973,6 +10047,9 @@ 69979-69985 rgb_led_ws281x: bit: "1" 69985-69991 rgb_led_ws281x: bit: "1" 69991-69998 rgb_led_ws281x: bit: "1" +69850-69899 rgb_led_ws281x: g: "fd" +69899-69948 rgb_led_ws281x: r: "00" +69948-69998 rgb_led_ws281x: b: "ef" 69850-69998 rgb_led_ws281x: rgb: "#00fdef" 69998-70004 rgb_led_ws281x: bit: "1" 70004-70010 rgb_led_ws281x: bit: "1" @@ -8998,6 +10075,9 @@ 70127-70133 rgb_led_ws281x: bit: "1" 70133-70139 rgb_led_ws281x: bit: "1" 70139-70146 rgb_led_ws281x: bit: "1" +69998-70047 rgb_led_ws281x: g: "fb" +70047-70096 rgb_led_ws281x: r: "00" +70096-70146 rgb_led_ws281x: b: "df" 69998-70146 rgb_led_ws281x: rgb: "#00fbdf" 70146-70152 rgb_led_ws281x: bit: "1" 70152-70158 rgb_led_ws281x: bit: "1" @@ -9023,6 +10103,9 @@ 70275-70281 rgb_led_ws281x: bit: "1" 70281-70287 rgb_led_ws281x: bit: "1" 70287-70294 rgb_led_ws281x: bit: "1" +70146-70195 rgb_led_ws281x: g: "f7" +70195-70244 rgb_led_ws281x: r: "00" +70244-70294 rgb_led_ws281x: b: "bf" 70146-70294 rgb_led_ws281x: rgb: "#00f7bf" 70294-70300 rgb_led_ws281x: bit: "1" 70300-70306 rgb_led_ws281x: bit: "1" @@ -9048,6 +10131,9 @@ 70423-70429 rgb_led_ws281x: bit: "1" 70429-70436 rgb_led_ws281x: bit: "1" 70436-70442 rgb_led_ws281x: bit: "0" +70294-70343 rgb_led_ws281x: g: "ff" +70343-70392 rgb_led_ws281x: r: "00" +70392-70442 rgb_led_ws281x: b: "fe" 70294-70442 rgb_led_ws281x: rgb: "#00fffe" 70442-70448 rgb_led_ws281x: bit: "1" 70448-70454 rgb_led_ws281x: bit: "1" @@ -9073,6 +10159,9 @@ 70571-70578 rgb_led_ws281x: bit: "1" 70578-70584 rgb_led_ws281x: bit: "0" 70584-70590 rgb_led_ws281x: bit: "1" +70442-70491 rgb_led_ws281x: g: "ef" +70491-70541 rgb_led_ws281x: r: "00" +70541-70590 rgb_led_ws281x: b: "7d" 70442-70590 rgb_led_ws281x: rgb: "#00ef7d" 70590-70596 rgb_led_ws281x: bit: "1" 70596-70603 rgb_led_ws281x: bit: "1" @@ -9098,6 +10187,9 @@ 70719-70726 rgb_led_ws281x: bit: "1" 70726-70732 rgb_led_ws281x: bit: "1" 70732-70738 rgb_led_ws281x: bit: "1" +70590-70640 rgb_led_ws281x: g: "df" +70640-70689 rgb_led_ws281x: r: "00" +70689-70738 rgb_led_ws281x: b: "ff" 70590-70738 rgb_led_ws281x: rgb: "#00dfff" 70738-70745 rgb_led_ws281x: bit: "1" 70745-70751 rgb_led_ws281x: bit: "0" @@ -9123,6 +10215,9 @@ 70868-70874 rgb_led_ws281x: bit: "1" 70874-70880 rgb_led_ws281x: bit: "1" 70880-70887 rgb_led_ws281x: bit: "1" +70738-70788 rgb_led_ws281x: g: "bf" +70788-70837 rgb_led_ws281x: r: "00" +70837-70887 rgb_led_ws281x: b: "ff" 70738-70887 rgb_led_ws281x: rgb: "#00bfff" 70887-70893 rgb_led_ws281x: bit: "0" 70893-70899 rgb_led_ws281x: bit: "1" @@ -9148,6 +10243,9 @@ 71016-71022 rgb_led_ws281x: bit: "1" 71022-71028 rgb_led_ws281x: bit: "1" 71028-71035 rgb_led_ws281x: bit: "1" +70887-70936 rgb_led_ws281x: g: "7e" +70936-70985 rgb_led_ws281x: r: "00" +70985-71035 rgb_led_ws281x: b: "f7" 70887-71035 rgb_led_ws281x: rgb: "#007ef7" 71035-71041 rgb_led_ws281x: bit: "1" 71041-71047 rgb_led_ws281x: bit: "1" @@ -9173,6 +10271,9 @@ 71164-71170 rgb_led_ws281x: bit: "1" 71170-71176 rgb_led_ws281x: bit: "1" 71176-71183 rgb_led_ws281x: bit: "1" +71035-71084 rgb_led_ws281x: g: "fd" +71084-71133 rgb_led_ws281x: r: "00" +71133-71183 rgb_led_ws281x: b: "ef" 71035-71183 rgb_led_ws281x: rgb: "#00fdef" 71183-71189 rgb_led_ws281x: bit: "1" 71189-71195 rgb_led_ws281x: bit: "1" @@ -9198,6 +10299,9 @@ 71312-71318 rgb_led_ws281x: bit: "1" 71318-71324 rgb_led_ws281x: bit: "1" 71324-71331 rgb_led_ws281x: bit: "1" +71183-71232 rgb_led_ws281x: g: "fb" +71232-71281 rgb_led_ws281x: r: "00" +71281-71331 rgb_led_ws281x: b: "df" 71183-71331 rgb_led_ws281x: rgb: "#00fbdf" 71331-71337 rgb_led_ws281x: bit: "1" 71337-71343 rgb_led_ws281x: bit: "1" @@ -9223,6 +10327,9 @@ 71460-71466 rgb_led_ws281x: bit: "1" 71466-71472 rgb_led_ws281x: bit: "1" 71472-71479 rgb_led_ws281x: bit: "1" +71331-71380 rgb_led_ws281x: g: "f7" +71380-71429 rgb_led_ws281x: r: "00" +71429-71479 rgb_led_ws281x: b: "bf" 71331-71479 rgb_led_ws281x: rgb: "#00f7bf" 71479-71485 rgb_led_ws281x: bit: "1" 71485-71491 rgb_led_ws281x: bit: "1" @@ -9248,6 +10355,9 @@ 71608-71614 rgb_led_ws281x: bit: "1" 71614-71621 rgb_led_ws281x: bit: "1" 71621-71627 rgb_led_ws281x: bit: "0" +71479-71528 rgb_led_ws281x: g: "ef" +71528-71578 rgb_led_ws281x: r: "00" +71578-71627 rgb_led_ws281x: b: "7e" 71479-71627 rgb_led_ws281x: rgb: "#00ef7e" 71627-71633 rgb_led_ws281x: bit: "1" 71633-71639 rgb_led_ws281x: bit: "1" @@ -9273,6 +10383,9 @@ 71756-71763 rgb_led_ws281x: bit: "1" 71763-71769 rgb_led_ws281x: bit: "0" 71769-71775 rgb_led_ws281x: bit: "1" +71627-71676 rgb_led_ws281x: g: "ff" +71676-71726 rgb_led_ws281x: r: "00" +71726-71775 rgb_led_ws281x: b: "7d" 71627-71775 rgb_led_ws281x: rgb: "#00ff7d" 71775-71781 rgb_led_ws281x: bit: "1" 71781-71788 rgb_led_ws281x: bit: "1" @@ -9298,6 +10411,9 @@ 71905-71911 rgb_led_ws281x: bit: "0" 71911-71917 rgb_led_ws281x: bit: "1" 71917-71923 rgb_led_ws281x: bit: "1" +71775-71825 rgb_led_ws281x: g: "df" +71825-71874 rgb_led_ws281x: r: "00" +71874-71923 rgb_led_ws281x: b: "fb" 71775-71923 rgb_led_ws281x: rgb: "#00dffb" 71923-71930 rgb_led_ws281x: bit: "1" 71930-71936 rgb_led_ws281x: bit: "0" @@ -9323,6 +10439,9 @@ 72053-72059 rgb_led_ws281x: bit: "1" 72059-72065 rgb_led_ws281x: bit: "1" 72065-72072 rgb_led_ws281x: bit: "1" +71923-71973 rgb_led_ws281x: g: "be" +71973-72022 rgb_led_ws281x: r: "00" +72022-72072 rgb_led_ws281x: b: "ff" 71923-72072 rgb_led_ws281x: rgb: "#00beff" 72072-72078 rgb_led_ws281x: bit: "0" 72078-72084 rgb_led_ws281x: bit: "1" @@ -9348,6 +10467,9 @@ 72201-72207 rgb_led_ws281x: bit: "1" 72207-72213 rgb_led_ws281x: bit: "1" 72213-72220 rgb_led_ws281x: bit: "1" +72072-72121 rgb_led_ws281x: g: "7f" +72121-72170 rgb_led_ws281x: r: "00" +72170-72220 rgb_led_ws281x: b: "f7" 72072-72220 rgb_led_ws281x: rgb: "#007ff7" 72220-72226 rgb_led_ws281x: bit: "1" 72226-72232 rgb_led_ws281x: bit: "1" @@ -9373,6 +10495,9 @@ 72349-72355 rgb_led_ws281x: bit: "1" 72355-72361 rgb_led_ws281x: bit: "1" 72361-72368 rgb_led_ws281x: bit: "1" +72220-72269 rgb_led_ws281x: g: "fd" +72269-72318 rgb_led_ws281x: r: "00" +72318-72368 rgb_led_ws281x: b: "ef" 72220-72368 rgb_led_ws281x: rgb: "#00fdef" 72368-72374 rgb_led_ws281x: bit: "1" 72374-72380 rgb_led_ws281x: bit: "1" @@ -9398,6 +10523,9 @@ 72497-72503 rgb_led_ws281x: bit: "1" 72503-72509 rgb_led_ws281x: bit: "1" 72509-72516 rgb_led_ws281x: bit: "1" +72368-72417 rgb_led_ws281x: g: "fb" +72417-72466 rgb_led_ws281x: r: "00" +72466-72516 rgb_led_ws281x: b: "df" 72368-72516 rgb_led_ws281x: rgb: "#00fbdf" 72516-72522 rgb_led_ws281x: bit: "1" 72522-72528 rgb_led_ws281x: bit: "1" @@ -9423,6 +10551,9 @@ 72645-72651 rgb_led_ws281x: bit: "1" 72651-72657 rgb_led_ws281x: bit: "1" 72657-72664 rgb_led_ws281x: bit: "1" +72516-72565 rgb_led_ws281x: g: "f7" +72565-72614 rgb_led_ws281x: r: "00" +72614-72664 rgb_led_ws281x: b: "bf" 72516-72664 rgb_led_ws281x: rgb: "#00f7bf" 72664-72670 rgb_led_ws281x: bit: "1" 72670-72676 rgb_led_ws281x: bit: "1" @@ -9448,6 +10579,9 @@ 72793-72799 rgb_led_ws281x: bit: "1" 72799-72806 rgb_led_ws281x: bit: "1" 72806-72812 rgb_led_ws281x: bit: "0" +72664-72713 rgb_led_ws281x: g: "ef" +72713-72763 rgb_led_ws281x: r: "00" +72763-72812 rgb_led_ws281x: b: "7e" 72664-72812 rgb_led_ws281x: rgb: "#00ef7e" 72812-72818 rgb_led_ws281x: bit: "1" 72818-72825 rgb_led_ws281x: bit: "1" @@ -9473,6 +10607,9 @@ 72941-72948 rgb_led_ws281x: bit: "1" 72948-72954 rgb_led_ws281x: bit: "0" 72954-72960 rgb_led_ws281x: bit: "1" +72812-72861 rgb_led_ws281x: g: "df" +72861-72911 rgb_led_ws281x: r: "00" +72911-72960 rgb_led_ws281x: b: "fd" 72812-72960 rgb_led_ws281x: rgb: "#00dffd" 72960-72966 rgb_led_ws281x: bit: "1" 72966-72973 rgb_led_ws281x: bit: "1" @@ -9498,6 +10635,9 @@ 73090-73096 rgb_led_ws281x: bit: "0" 73096-73102 rgb_led_ws281x: bit: "1" 73102-73108 rgb_led_ws281x: bit: "1" +72960-73010 rgb_led_ws281x: g: "df" +73010-73059 rgb_led_ws281x: r: "00" +73059-73108 rgb_led_ws281x: b: "fb" 72960-73108 rgb_led_ws281x: rgb: "#00dffb" 73108-73115 rgb_led_ws281x: bit: "1" 73115-73121 rgb_led_ws281x: bit: "0" @@ -9523,6 +10663,9 @@ 73238-73244 rgb_led_ws281x: bit: "1" 73244-73250 rgb_led_ws281x: bit: "1" 73250-73257 rgb_led_ws281x: bit: "1" +73108-73158 rgb_led_ws281x: g: "be" +73158-73207 rgb_led_ws281x: r: "00" +73207-73257 rgb_led_ws281x: b: "ff" 73108-73257 rgb_led_ws281x: rgb: "#00beff" 73257-73263 rgb_led_ws281x: bit: "0" 73263-73269 rgb_led_ws281x: bit: "1" @@ -9548,6 +10691,9 @@ 73386-73392 rgb_led_ws281x: bit: "1" 73392-73398 rgb_led_ws281x: bit: "1" 73398-73405 rgb_led_ws281x: bit: "1" +73257-73306 rgb_led_ws281x: g: "7f" +73306-73355 rgb_led_ws281x: r: "00" +73355-73405 rgb_led_ws281x: b: "f7" 73257-73405 rgb_led_ws281x: rgb: "#007ff7" 73405-73411 rgb_led_ws281x: bit: "1" 73411-73417 rgb_led_ws281x: bit: "1" @@ -9573,6 +10719,9 @@ 73534-73540 rgb_led_ws281x: bit: "1" 73540-73546 rgb_led_ws281x: bit: "1" 73546-73553 rgb_led_ws281x: bit: "1" +73405-73454 rgb_led_ws281x: g: "ff" +73454-73503 rgb_led_ws281x: r: "00" +73503-73553 rgb_led_ws281x: b: "ef" 73405-73553 rgb_led_ws281x: rgb: "#00ffef" 73553-73559 rgb_led_ws281x: bit: "1" 73559-73565 rgb_led_ws281x: bit: "1" @@ -9598,6 +10747,9 @@ 73682-73688 rgb_led_ws281x: bit: "1" 73688-73694 rgb_led_ws281x: bit: "1" 73694-73701 rgb_led_ws281x: bit: "1" +73553-73602 rgb_led_ws281x: g: "fb" +73602-73651 rgb_led_ws281x: r: "00" +73651-73701 rgb_led_ws281x: b: "df" 73553-73701 rgb_led_ws281x: rgb: "#00fbdf" 73701-73707 rgb_led_ws281x: bit: "1" 73707-73713 rgb_led_ws281x: bit: "1" @@ -9623,6 +10775,9 @@ 73830-73836 rgb_led_ws281x: bit: "1" 73836-73842 rgb_led_ws281x: bit: "1" 73842-73849 rgb_led_ws281x: bit: "1" +73701-73750 rgb_led_ws281x: g: "f7" +73750-73799 rgb_led_ws281x: r: "00" +73799-73849 rgb_led_ws281x: b: "bf" 73701-73849 rgb_led_ws281x: rgb: "#00f7bf" 73849-73855 rgb_led_ws281x: bit: "1" 73855-73861 rgb_led_ws281x: bit: "1" @@ -9648,6 +10803,9 @@ 73978-73984 rgb_led_ws281x: bit: "1" 73984-73991 rgb_led_ws281x: bit: "1" 73991-73997 rgb_led_ws281x: bit: "0" +73849-73898 rgb_led_ws281x: g: "ef" +73898-73948 rgb_led_ws281x: r: "00" +73948-73997 rgb_led_ws281x: b: "7e" 73849-73997 rgb_led_ws281x: rgb: "#00ef7e" 73997-74003 rgb_led_ws281x: bit: "1" 74003-74009 rgb_led_ws281x: bit: "1" @@ -9673,6 +10831,9 @@ 74126-74133 rgb_led_ws281x: bit: "1" 74133-74139 rgb_led_ws281x: bit: "0" 74139-74145 rgb_led_ws281x: bit: "1" +73997-74046 rgb_led_ws281x: g: "ff" +74046-74096 rgb_led_ws281x: r: "00" +74096-74145 rgb_led_ws281x: b: "fd" 73997-74145 rgb_led_ws281x: rgb: "#00fffd" 74145-74151 rgb_led_ws281x: bit: "1" 74151-74158 rgb_led_ws281x: bit: "1" @@ -9698,6 +10859,9 @@ 74275-74281 rgb_led_ws281x: bit: "0" 74281-74287 rgb_led_ws281x: bit: "1" 74287-74293 rgb_led_ws281x: bit: "1" +74145-74195 rgb_led_ws281x: g: "ff" +74195-74244 rgb_led_ws281x: r: "00" +74244-74293 rgb_led_ws281x: b: "fb" 74145-74293 rgb_led_ws281x: rgb: "#00fffb" 74293-74300 rgb_led_ws281x: bit: "1" 74300-74306 rgb_led_ws281x: bit: "0" @@ -9723,6 +10887,9 @@ 74423-74429 rgb_led_ws281x: bit: "1" 74429-74435 rgb_led_ws281x: bit: "1" 74435-74442 rgb_led_ws281x: bit: "1" +74293-74343 rgb_led_ws281x: g: "be" +74343-74392 rgb_led_ws281x: r: "00" +74392-74442 rgb_led_ws281x: b: "f7" 74293-74442 rgb_led_ws281x: rgb: "#00bef7" 74442-74448 rgb_led_ws281x: bit: "0" 74448-74454 rgb_led_ws281x: bit: "1" @@ -9748,6 +10915,9 @@ 74571-74577 rgb_led_ws281x: bit: "1" 74577-74583 rgb_led_ws281x: bit: "1" 74583-74590 rgb_led_ws281x: bit: "1" +74442-74491 rgb_led_ws281x: g: "7d" +74491-74540 rgb_led_ws281x: r: "00" +74540-74590 rgb_led_ws281x: b: "ff" 74442-74590 rgb_led_ws281x: rgb: "#007dff" 74590-74596 rgb_led_ws281x: bit: "1" 74596-74602 rgb_led_ws281x: bit: "1" @@ -9773,6 +10943,9 @@ 74719-74725 rgb_led_ws281x: bit: "1" 74725-74731 rgb_led_ws281x: bit: "1" 74731-74738 rgb_led_ws281x: bit: "1" +74590-74639 rgb_led_ws281x: g: "ff" +74639-74688 rgb_led_ws281x: r: "00" +74688-74738 rgb_led_ws281x: b: "ef" 74590-74738 rgb_led_ws281x: rgb: "#00ffef" 74738-74744 rgb_led_ws281x: bit: "1" 74744-74750 rgb_led_ws281x: bit: "1" @@ -9798,6 +10971,9 @@ 74867-74873 rgb_led_ws281x: bit: "1" 74873-74879 rgb_led_ws281x: bit: "1" 74879-74886 rgb_led_ws281x: bit: "1" +74738-74787 rgb_led_ws281x: g: "fb" +74787-74836 rgb_led_ws281x: r: "00" +74836-74886 rgb_led_ws281x: b: "df" 74738-74886 rgb_led_ws281x: rgb: "#00fbdf" 74886-74892 rgb_led_ws281x: bit: "1" 74892-74898 rgb_led_ws281x: bit: "1" @@ -9823,6 +10999,9 @@ 75015-75021 rgb_led_ws281x: bit: "1" 75021-75027 rgb_led_ws281x: bit: "1" 75027-75034 rgb_led_ws281x: bit: "1" +74886-74935 rgb_led_ws281x: g: "f7" +74935-74984 rgb_led_ws281x: r: "00" +74984-75034 rgb_led_ws281x: b: "bf" 74886-75034 rgb_led_ws281x: rgb: "#00f7bf" 75034-75040 rgb_led_ws281x: bit: "1" 75040-75046 rgb_led_ws281x: bit: "1" @@ -9848,6 +11027,9 @@ 75163-75169 rgb_led_ws281x: bit: "1" 75169-75176 rgb_led_ws281x: bit: "1" 75176-75182 rgb_led_ws281x: bit: "0" +75034-75083 rgb_led_ws281x: g: "ef" +75083-75133 rgb_led_ws281x: r: "00" +75133-75182 rgb_led_ws281x: b: "7e" 75034-75182 rgb_led_ws281x: rgb: "#00ef7e" 75182-75188 rgb_led_ws281x: bit: "1" 75188-75195 rgb_led_ws281x: bit: "1" @@ -9873,6 +11055,9 @@ 75311-75318 rgb_led_ws281x: bit: "1" 75318-75324 rgb_led_ws281x: bit: "0" 75324-75330 rgb_led_ws281x: bit: "1" +75182-75231 rgb_led_ws281x: g: "df" +75231-75281 rgb_led_ws281x: r: "00" +75281-75330 rgb_led_ws281x: b: "fd" 75182-75330 rgb_led_ws281x: rgb: "#00dffd" 75330-75336 rgb_led_ws281x: bit: "1" 75336-75343 rgb_led_ws281x: bit: "1" @@ -9898,6 +11083,9 @@ 75460-75466 rgb_led_ws281x: bit: "0" 75466-75472 rgb_led_ws281x: bit: "1" 75472-75478 rgb_led_ws281x: bit: "1" +75330-75380 rgb_led_ws281x: g: "ff" +75380-75429 rgb_led_ws281x: r: "00" +75429-75478 rgb_led_ws281x: b: "fb" 75330-75478 rgb_led_ws281x: rgb: "#00fffb" 75478-75485 rgb_led_ws281x: bit: "1" 75485-75491 rgb_led_ws281x: bit: "1" @@ -9923,6 +11111,9 @@ 75608-75614 rgb_led_ws281x: bit: "1" 75614-75620 rgb_led_ws281x: bit: "1" 75620-75627 rgb_led_ws281x: bit: "1" +75478-75528 rgb_led_ws281x: g: "fe" +75528-75577 rgb_led_ws281x: r: "00" +75577-75627 rgb_led_ws281x: b: "f7" 75478-75627 rgb_led_ws281x: rgb: "#00fef7" 75627-75633 rgb_led_ws281x: bit: "0" 75633-75639 rgb_led_ws281x: bit: "1" @@ -9948,6 +11139,9 @@ 75756-75762 rgb_led_ws281x: bit: "1" 75762-75768 rgb_led_ws281x: bit: "1" 75768-75775 rgb_led_ws281x: bit: "1" +75627-75676 rgb_led_ws281x: g: "7d" +75676-75725 rgb_led_ws281x: r: "00" +75725-75775 rgb_led_ws281x: b: "ef" 75627-75775 rgb_led_ws281x: rgb: "#007def" 75775-75781 rgb_led_ws281x: bit: "1" 75781-75787 rgb_led_ws281x: bit: "1" @@ -9973,6 +11167,9 @@ 75904-75910 rgb_led_ws281x: bit: "1" 75910-75916 rgb_led_ws281x: bit: "1" 75916-75923 rgb_led_ws281x: bit: "1" +75775-75824 rgb_led_ws281x: g: "ff" +75824-75873 rgb_led_ws281x: r: "00" +75873-75923 rgb_led_ws281x: b: "ff" 75775-75923 rgb_led_ws281x: rgb: "#00ffff" 75923-75929 rgb_led_ws281x: bit: "1" 75929-75935 rgb_led_ws281x: bit: "1" @@ -9998,6 +11195,9 @@ 76052-76058 rgb_led_ws281x: bit: "1" 76058-76064 rgb_led_ws281x: bit: "1" 76064-76071 rgb_led_ws281x: bit: "1" +75923-75972 rgb_led_ws281x: g: "ff" +75972-76021 rgb_led_ws281x: r: "00" +76021-76071 rgb_led_ws281x: b: "df" 75923-76071 rgb_led_ws281x: rgb: "#00ffdf" 76071-76077 rgb_led_ws281x: bit: "1" 76077-76083 rgb_led_ws281x: bit: "1" @@ -10023,6 +11223,9 @@ 76200-76206 rgb_led_ws281x: bit: "1" 76206-76213 rgb_led_ws281x: bit: "1" 76213-76219 rgb_led_ws281x: bit: "0" +76071-76120 rgb_led_ws281x: g: "f7" +76120-76169 rgb_led_ws281x: r: "00" +76169-76219 rgb_led_ws281x: b: "be" 76071-76219 rgb_led_ws281x: rgb: "#00f7be" 76219-76225 rgb_led_ws281x: bit: "1" 76225-76231 rgb_led_ws281x: bit: "1" @@ -10048,6 +11251,9 @@ 76348-76354 rgb_led_ws281x: bit: "1" 76354-76361 rgb_led_ws281x: bit: "1" 76361-76367 rgb_led_ws281x: bit: "0" +76219-76268 rgb_led_ws281x: g: "ef" +76268-76318 rgb_led_ws281x: r: "00" +76318-76367 rgb_led_ws281x: b: "7e" 76219-76367 rgb_led_ws281x: rgb: "#00ef7e" 76367-76373 rgb_led_ws281x: bit: "1" 76373-76380 rgb_led_ws281x: bit: "1" @@ -10073,6 +11279,9 @@ 76496-76503 rgb_led_ws281x: bit: "1" 76503-76509 rgb_led_ws281x: bit: "0" 76509-76515 rgb_led_ws281x: bit: "1" +76367-76416 rgb_led_ws281x: g: "df" +76416-76466 rgb_led_ws281x: r: "00" +76466-76515 rgb_led_ws281x: b: "fd" 76367-76515 rgb_led_ws281x: rgb: "#00dffd" 76515-76522 rgb_led_ws281x: bit: "1" 76522-76528 rgb_led_ws281x: bit: "0" @@ -10098,6 +11307,9 @@ 76645-76651 rgb_led_ws281x: bit: "0" 76651-76657 rgb_led_ws281x: bit: "1" 76657-76663 rgb_led_ws281x: bit: "1" +76515-76565 rgb_led_ws281x: g: "bf" +76565-76614 rgb_led_ws281x: r: "00" +76614-76663 rgb_led_ws281x: b: "fb" 76515-76663 rgb_led_ws281x: rgb: "#00bffb" 76663-76670 rgb_led_ws281x: bit: "1" 76670-76676 rgb_led_ws281x: bit: "1" @@ -10123,6 +11335,9 @@ 76793-76799 rgb_led_ws281x: bit: "1" 76799-76805 rgb_led_ws281x: bit: "1" 76805-76812 rgb_led_ws281x: bit: "1" +76663-76713 rgb_led_ws281x: g: "fe" +76713-76762 rgb_led_ws281x: r: "00" +76762-76812 rgb_led_ws281x: b: "f7" 76663-76812 rgb_led_ws281x: rgb: "#00fef7" 76812-76818 rgb_led_ws281x: bit: "0" 76818-76824 rgb_led_ws281x: bit: "1" @@ -10148,6 +11363,9 @@ 76941-76947 rgb_led_ws281x: bit: "1" 76947-76953 rgb_led_ws281x: bit: "1" 76953-76960 rgb_led_ws281x: bit: "1" +76812-76861 rgb_led_ws281x: g: "7d" +76861-76910 rgb_led_ws281x: r: "00" +76910-76960 rgb_led_ws281x: b: "ef" 76812-76960 rgb_led_ws281x: rgb: "#007def" 76960-76966 rgb_led_ws281x: bit: "1" 76966-76972 rgb_led_ws281x: bit: "1" @@ -10173,6 +11391,9 @@ 77089-77095 rgb_led_ws281x: bit: "1" 77095-77101 rgb_led_ws281x: bit: "1" 77101-77108 rgb_led_ws281x: bit: "1" +76960-77009 rgb_led_ws281x: g: "ff" +77009-77058 rgb_led_ws281x: r: "00" +77058-77108 rgb_led_ws281x: b: "df" 76960-77108 rgb_led_ws281x: rgb: "#00ffdf" 77108-77114 rgb_led_ws281x: bit: "1" 77114-77120 rgb_led_ws281x: bit: "1" @@ -10198,6 +11419,9 @@ 77237-77243 rgb_led_ws281x: bit: "1" 77243-77249 rgb_led_ws281x: bit: "1" 77249-77256 rgb_led_ws281x: bit: "1" +77108-77157 rgb_led_ws281x: g: "ff" +77157-77206 rgb_led_ws281x: r: "00" +77206-77256 rgb_led_ws281x: b: "df" 77108-77256 rgb_led_ws281x: rgb: "#00ffdf" 77256-77262 rgb_led_ws281x: bit: "1" 77262-77268 rgb_led_ws281x: bit: "1" @@ -10223,6 +11447,9 @@ 77385-77391 rgb_led_ws281x: bit: "1" 77391-77398 rgb_led_ws281x: bit: "1" 77398-77404 rgb_led_ws281x: bit: "0" +77256-77305 rgb_led_ws281x: g: "f7" +77305-77354 rgb_led_ws281x: r: "00" +77354-77404 rgb_led_ws281x: b: "be" 77256-77404 rgb_led_ws281x: rgb: "#00f7be" 77404-77410 rgb_led_ws281x: bit: "1" 77410-77416 rgb_led_ws281x: bit: "1" @@ -10248,6 +11475,9 @@ 77533-77540 rgb_led_ws281x: bit: "1" 77540-77546 rgb_led_ws281x: bit: "0" 77546-77552 rgb_led_ws281x: bit: "1" +77404-77453 rgb_led_ws281x: g: "ef" +77453-77503 rgb_led_ws281x: r: "00" +77503-77552 rgb_led_ws281x: b: "7d" 77404-77552 rgb_led_ws281x: rgb: "#00ef7d" 77552-77558 rgb_led_ws281x: bit: "1" 77558-77565 rgb_led_ws281x: bit: "1" @@ -10273,6 +11503,9 @@ 77681-77688 rgb_led_ws281x: bit: "1" 77688-77694 rgb_led_ws281x: bit: "1" 77694-77700 rgb_led_ws281x: bit: "1" +77552-77601 rgb_led_ws281x: g: "df" +77601-77651 rgb_led_ws281x: r: "00" +77651-77700 rgb_led_ws281x: b: "ff" 77552-77700 rgb_led_ws281x: rgb: "#00dfff" 77700-77707 rgb_led_ws281x: bit: "1" 77707-77713 rgb_led_ws281x: bit: "0" @@ -10298,6 +11531,9 @@ 77830-77836 rgb_led_ws281x: bit: "0" 77836-77842 rgb_led_ws281x: bit: "1" 77842-77849 rgb_led_ws281x: bit: "1" +77700-77750 rgb_led_ws281x: g: "bf" +77750-77799 rgb_led_ws281x: r: "00" +77799-77849 rgb_led_ws281x: b: "fb" 77700-77849 rgb_led_ws281x: rgb: "#00bffb" 77849-77855 rgb_led_ws281x: bit: "0" 77855-77861 rgb_led_ws281x: bit: "1" @@ -10323,6 +11559,9 @@ 77978-77984 rgb_led_ws281x: bit: "1" 77984-77990 rgb_led_ws281x: bit: "1" 77990-77997 rgb_led_ws281x: bit: "1" +77849-77898 rgb_led_ws281x: g: "7e" +77898-77947 rgb_led_ws281x: r: "00" +77947-77997 rgb_led_ws281x: b: "f7" 77849-77997 rgb_led_ws281x: rgb: "#007ef7" 77997-78003 rgb_led_ws281x: bit: "1" 78003-78009 rgb_led_ws281x: bit: "1" @@ -10348,6 +11587,9 @@ 78126-78132 rgb_led_ws281x: bit: "1" 78132-78138 rgb_led_ws281x: bit: "1" 78138-78145 rgb_led_ws281x: bit: "1" +77997-78046 rgb_led_ws281x: g: "fd" +78046-78095 rgb_led_ws281x: r: "00" +78095-78145 rgb_led_ws281x: b: "ef" 77997-78145 rgb_led_ws281x: rgb: "#00fdef" 78145-78151 rgb_led_ws281x: bit: "1" 78151-78157 rgb_led_ws281x: bit: "1" @@ -10373,6 +11615,9 @@ 78274-78280 rgb_led_ws281x: bit: "1" 78280-78286 rgb_led_ws281x: bit: "1" 78286-78293 rgb_led_ws281x: bit: "1" +78145-78194 rgb_led_ws281x: g: "fb" +78194-78243 rgb_led_ws281x: r: "00" +78243-78293 rgb_led_ws281x: b: "df" 78145-78293 rgb_led_ws281x: rgb: "#00fbdf" 78293-78299 rgb_led_ws281x: bit: "1" 78299-78305 rgb_led_ws281x: bit: "1" @@ -10398,6 +11643,9 @@ 78422-78428 rgb_led_ws281x: bit: "1" 78428-78434 rgb_led_ws281x: bit: "1" 78434-78441 rgb_led_ws281x: bit: "1" +78293-78342 rgb_led_ws281x: g: "ff" +78342-78391 rgb_led_ws281x: r: "00" +78391-78441 rgb_led_ws281x: b: "ff" 78293-78441 rgb_led_ws281x: rgb: "#00ffff" 78441-78447 rgb_led_ws281x: bit: "1" 78447-78453 rgb_led_ws281x: bit: "1" @@ -10423,6 +11671,9 @@ 78570-78576 rgb_led_ws281x: bit: "1" 78576-78583 rgb_led_ws281x: bit: "1" 78583-78589 rgb_led_ws281x: bit: "0" +78441-78490 rgb_led_ws281x: g: "f7" +78490-78539 rgb_led_ws281x: r: "00" +78539-78589 rgb_led_ws281x: b: "be" 78441-78589 rgb_led_ws281x: rgb: "#00f7be" 78589-78595 rgb_led_ws281x: bit: "1" 78595-78601 rgb_led_ws281x: bit: "1" @@ -10448,6 +11699,9 @@ 78718-78725 rgb_led_ws281x: bit: "1" 78725-78731 rgb_led_ws281x: bit: "0" 78731-78737 rgb_led_ws281x: bit: "1" +78589-78638 rgb_led_ws281x: g: "ef" +78638-78688 rgb_led_ws281x: r: "00" +78688-78737 rgb_led_ws281x: b: "7d" 78589-78737 rgb_led_ws281x: rgb: "#00ef7d" 78737-78743 rgb_led_ws281x: bit: "1" 78743-78750 rgb_led_ws281x: bit: "1" @@ -10473,6 +11727,9 @@ 78866-78873 rgb_led_ws281x: bit: "1" 78873-78879 rgb_led_ws281x: bit: "1" 78879-78885 rgb_led_ws281x: bit: "1" +78737-78786 rgb_led_ws281x: g: "df" +78786-78836 rgb_led_ws281x: r: "00" +78836-78885 rgb_led_ws281x: b: "ff" 78737-78885 rgb_led_ws281x: rgb: "#00dfff" 78885-78892 rgb_led_ws281x: bit: "1" 78892-78898 rgb_led_ws281x: bit: "0" @@ -10498,6 +11755,9 @@ 79015-79021 rgb_led_ws281x: bit: "0" 79021-79027 rgb_led_ws281x: bit: "1" 79027-79034 rgb_led_ws281x: bit: "1" +78885-78935 rgb_led_ws281x: g: "bf" +78935-78984 rgb_led_ws281x: r: "00" +78984-79034 rgb_led_ws281x: b: "fb" 78885-79034 rgb_led_ws281x: rgb: "#00bffb" 79034-79040 rgb_led_ws281x: bit: "0" 79040-79046 rgb_led_ws281x: bit: "1" @@ -10523,6 +11783,9 @@ 79163-79169 rgb_led_ws281x: bit: "1" 79169-79175 rgb_led_ws281x: bit: "1" 79175-79182 rgb_led_ws281x: bit: "1" +79034-79083 rgb_led_ws281x: g: "7e" +79083-79132 rgb_led_ws281x: r: "00" +79132-79182 rgb_led_ws281x: b: "f7" 79034-79182 rgb_led_ws281x: rgb: "#007ef7" 79182-79188 rgb_led_ws281x: bit: "1" 79188-79194 rgb_led_ws281x: bit: "1" @@ -10548,6 +11811,9 @@ 79311-79317 rgb_led_ws281x: bit: "1" 79317-79323 rgb_led_ws281x: bit: "1" 79323-79330 rgb_led_ws281x: bit: "1" +79182-79231 rgb_led_ws281x: g: "fd" +79231-79280 rgb_led_ws281x: r: "00" +79280-79330 rgb_led_ws281x: b: "ef" 79182-79330 rgb_led_ws281x: rgb: "#00fdef" 79330-79336 rgb_led_ws281x: bit: "1" 79336-79342 rgb_led_ws281x: bit: "1" @@ -10573,6 +11839,9 @@ 79459-79465 rgb_led_ws281x: bit: "1" 79465-79471 rgb_led_ws281x: bit: "1" 79471-79478 rgb_led_ws281x: bit: "1" +79330-79379 rgb_led_ws281x: g: "fb" +79379-79428 rgb_led_ws281x: r: "00" +79428-79478 rgb_led_ws281x: b: "df" 79330-79478 rgb_led_ws281x: rgb: "#00fbdf" 79478-79484 rgb_led_ws281x: bit: "1" 79484-79490 rgb_led_ws281x: bit: "1" @@ -10598,6 +11867,9 @@ 79607-79613 rgb_led_ws281x: bit: "1" 79613-79619 rgb_led_ws281x: bit: "1" 79619-79626 rgb_led_ws281x: bit: "1" +79478-79527 rgb_led_ws281x: g: "f7" +79527-79576 rgb_led_ws281x: r: "00" +79576-79626 rgb_led_ws281x: b: "bf" 79478-79626 rgb_led_ws281x: rgb: "#00f7bf" 79626-79632 rgb_led_ws281x: bit: "1" 79632-79638 rgb_led_ws281x: bit: "1" @@ -10623,6 +11895,9 @@ 79755-79761 rgb_led_ws281x: bit: "1" 79761-79768 rgb_led_ws281x: bit: "1" 79768-79774 rgb_led_ws281x: bit: "0" +79626-79675 rgb_led_ws281x: g: "ff" +79675-79724 rgb_led_ws281x: r: "00" +79724-79774 rgb_led_ws281x: b: "be" 79626-79774 rgb_led_ws281x: rgb: "#00ffbe" 79774-79780 rgb_led_ws281x: bit: "1" 79780-79786 rgb_led_ws281x: bit: "1" @@ -10648,6 +11923,9 @@ 79903-79910 rgb_led_ws281x: bit: "1" 79910-79916 rgb_led_ws281x: bit: "0" 79916-79922 rgb_led_ws281x: bit: "1" +79774-79823 rgb_led_ws281x: g: "ef" +79823-79873 rgb_led_ws281x: r: "00" +79873-79922 rgb_led_ws281x: b: "7d" 79774-79922 rgb_led_ws281x: rgb: "#00ef7d" 79922-79928 rgb_led_ws281x: bit: "1" 79928-79935 rgb_led_ws281x: bit: "1" @@ -10673,6 +11951,9 @@ 80051-80058 rgb_led_ws281x: bit: "1" 80058-80064 rgb_led_ws281x: bit: "1" 80064-80070 rgb_led_ws281x: bit: "1" +79922-79972 rgb_led_ws281x: g: "df" +79972-80021 rgb_led_ws281x: r: "00" +80021-80070 rgb_led_ws281x: b: "ff" 79922-80070 rgb_led_ws281x: rgb: "#00dfff" 80070-80077 rgb_led_ws281x: bit: "1" 80077-80083 rgb_led_ws281x: bit: "0" @@ -10698,6 +11979,9 @@ 80200-80206 rgb_led_ws281x: bit: "1" 80206-80212 rgb_led_ws281x: bit: "1" 80212-80219 rgb_led_ws281x: bit: "1" +80070-80120 rgb_led_ws281x: g: "bf" +80120-80169 rgb_led_ws281x: r: "00" +80169-80219 rgb_led_ws281x: b: "ff" 80070-80219 rgb_led_ws281x: rgb: "#00bfff" 80219-80225 rgb_led_ws281x: bit: "0" 80225-80231 rgb_led_ws281x: bit: "1" @@ -10723,6 +12007,9 @@ 80348-80354 rgb_led_ws281x: bit: "1" 80354-80360 rgb_led_ws281x: bit: "1" 80360-80367 rgb_led_ws281x: bit: "1" +80219-80268 rgb_led_ws281x: g: "7e" +80268-80317 rgb_led_ws281x: r: "00" +80317-80367 rgb_led_ws281x: b: "f7" 80219-80367 rgb_led_ws281x: rgb: "#007ef7" 80367-80373 rgb_led_ws281x: bit: "1" 80373-80379 rgb_led_ws281x: bit: "1" @@ -10748,6 +12035,9 @@ 80496-80502 rgb_led_ws281x: bit: "1" 80502-80508 rgb_led_ws281x: bit: "1" 80508-80515 rgb_led_ws281x: bit: "1" +80367-80416 rgb_led_ws281x: g: "fd" +80416-80465 rgb_led_ws281x: r: "00" +80465-80515 rgb_led_ws281x: b: "ef" 80367-80515 rgb_led_ws281x: rgb: "#00fdef" 80515-80521 rgb_led_ws281x: bit: "1" 80521-80527 rgb_led_ws281x: bit: "1" @@ -10773,6 +12063,9 @@ 80644-80650 rgb_led_ws281x: bit: "1" 80650-80656 rgb_led_ws281x: bit: "1" 80656-80663 rgb_led_ws281x: bit: "1" +80515-80564 rgb_led_ws281x: g: "fb" +80564-80613 rgb_led_ws281x: r: "00" +80613-80663 rgb_led_ws281x: b: "df" 80515-80663 rgb_led_ws281x: rgb: "#00fbdf" 80663-80669 rgb_led_ws281x: bit: "1" 80669-80675 rgb_led_ws281x: bit: "1" @@ -10798,6 +12091,9 @@ 80792-80798 rgb_led_ws281x: bit: "1" 80798-80804 rgb_led_ws281x: bit: "1" 80804-80811 rgb_led_ws281x: bit: "1" +80663-80712 rgb_led_ws281x: g: "f7" +80712-80761 rgb_led_ws281x: r: "00" +80761-80811 rgb_led_ws281x: b: "bf" 80663-80811 rgb_led_ws281x: rgb: "#00f7bf" 80811-80817 rgb_led_ws281x: bit: "1" 80817-80823 rgb_led_ws281x: bit: "1" @@ -10823,6 +12119,9 @@ 80940-80946 rgb_led_ws281x: bit: "1" 80946-80953 rgb_led_ws281x: bit: "1" 80953-80959 rgb_led_ws281x: bit: "0" +80811-80860 rgb_led_ws281x: g: "ff" +80860-80910 rgb_led_ws281x: r: "00" +80910-80959 rgb_led_ws281x: b: "7e" 80811-80959 rgb_led_ws281x: rgb: "#00ff7e" 80959-80965 rgb_led_ws281x: bit: "1" 80965-80971 rgb_led_ws281x: bit: "1" @@ -10848,6 +12147,9 @@ 81088-81095 rgb_led_ws281x: bit: "1" 81095-81101 rgb_led_ws281x: bit: "0" 81101-81107 rgb_led_ws281x: bit: "1" +80959-81008 rgb_led_ws281x: g: "ff" +81008-81058 rgb_led_ws281x: r: "00" +81058-81107 rgb_led_ws281x: b: "7d" 80959-81107 rgb_led_ws281x: rgb: "#00ff7d" 81107-81113 rgb_led_ws281x: bit: "1" 81113-81120 rgb_led_ws281x: bit: "1" @@ -10873,6 +12175,9 @@ 81237-81243 rgb_led_ws281x: bit: "0" 81243-81249 rgb_led_ws281x: bit: "1" 81249-81255 rgb_led_ws281x: bit: "1" +81107-81157 rgb_led_ws281x: g: "df" +81157-81206 rgb_led_ws281x: r: "00" +81206-81255 rgb_led_ws281x: b: "fb" 81107-81255 rgb_led_ws281x: rgb: "#00dffb" 81255-81262 rgb_led_ws281x: bit: "1" 81262-81268 rgb_led_ws281x: bit: "0" @@ -10898,6 +12203,9 @@ 81385-81391 rgb_led_ws281x: bit: "1" 81391-81397 rgb_led_ws281x: bit: "1" 81397-81404 rgb_led_ws281x: bit: "1" +81255-81305 rgb_led_ws281x: g: "bf" +81305-81354 rgb_led_ws281x: r: "00" +81354-81404 rgb_led_ws281x: b: "ff" 81255-81404 rgb_led_ws281x: rgb: "#00bfff" 81404-81410 rgb_led_ws281x: bit: "0" 81410-81416 rgb_led_ws281x: bit: "1" @@ -10923,6 +12231,9 @@ 81533-81539 rgb_led_ws281x: bit: "1" 81539-81545 rgb_led_ws281x: bit: "1" 81545-81552 rgb_led_ws281x: bit: "1" +81404-81453 rgb_led_ws281x: g: "7f" +81453-81502 rgb_led_ws281x: r: "00" +81502-81552 rgb_led_ws281x: b: "f7" 81404-81552 rgb_led_ws281x: rgb: "#007ff7" 81552-81558 rgb_led_ws281x: bit: "1" 81558-81564 rgb_led_ws281x: bit: "1" @@ -10948,6 +12259,9 @@ 81681-81687 rgb_led_ws281x: bit: "1" 81687-81693 rgb_led_ws281x: bit: "1" 81693-81700 rgb_led_ws281x: bit: "1" +81552-81601 rgb_led_ws281x: g: "fd" +81601-81650 rgb_led_ws281x: r: "00" +81650-81700 rgb_led_ws281x: b: "ef" 81552-81700 rgb_led_ws281x: rgb: "#00fdef" 81700-81706 rgb_led_ws281x: bit: "1" 81706-81712 rgb_led_ws281x: bit: "1" @@ -10973,6 +12287,9 @@ 81829-81835 rgb_led_ws281x: bit: "1" 81835-81841 rgb_led_ws281x: bit: "1" 81841-81848 rgb_led_ws281x: bit: "1" +81700-81749 rgb_led_ws281x: g: "fb" +81749-81798 rgb_led_ws281x: r: "00" +81798-81848 rgb_led_ws281x: b: "df" 81700-81848 rgb_led_ws281x: rgb: "#00fbdf" 81848-81854 rgb_led_ws281x: bit: "1" 81854-81860 rgb_led_ws281x: bit: "1" @@ -10998,6 +12315,9 @@ 81977-81983 rgb_led_ws281x: bit: "1" 81983-81989 rgb_led_ws281x: bit: "1" 81989-81996 rgb_led_ws281x: bit: "1" +81848-81897 rgb_led_ws281x: g: "f7" +81897-81946 rgb_led_ws281x: r: "00" +81946-81996 rgb_led_ws281x: b: "bf" 81848-81996 rgb_led_ws281x: rgb: "#00f7bf" 81996-82002 rgb_led_ws281x: bit: "1" 82002-82008 rgb_led_ws281x: bit: "1" @@ -11023,6 +12343,9 @@ 82125-82131 rgb_led_ws281x: bit: "1" 82131-82138 rgb_led_ws281x: bit: "1" 82138-82144 rgb_led_ws281x: bit: "0" +81996-82045 rgb_led_ws281x: g: "ef" +82045-82095 rgb_led_ws281x: r: "00" +82095-82144 rgb_led_ws281x: b: "7e" 81996-82144 rgb_led_ws281x: rgb: "#00ef7e" 82144-82150 rgb_led_ws281x: bit: "1" 82150-82156 rgb_led_ws281x: bit: "1" @@ -11048,6 +12371,9 @@ 82273-82280 rgb_led_ws281x: bit: "1" 82280-82286 rgb_led_ws281x: bit: "0" 82286-82292 rgb_led_ws281x: bit: "1" +82144-82193 rgb_led_ws281x: g: "ff" +82193-82243 rgb_led_ws281x: r: "00" +82243-82292 rgb_led_ws281x: b: "7d" 82144-82292 rgb_led_ws281x: rgb: "#00ff7d" 82292-82298 rgb_led_ws281x: bit: "1" 82298-82305 rgb_led_ws281x: bit: "1" @@ -11073,6 +12399,9 @@ 82422-82428 rgb_led_ws281x: bit: "0" 82428-82434 rgb_led_ws281x: bit: "1" 82434-82440 rgb_led_ws281x: bit: "1" +82292-82342 rgb_led_ws281x: g: "df" +82342-82391 rgb_led_ws281x: r: "00" +82391-82440 rgb_led_ws281x: b: "fb" 82292-82440 rgb_led_ws281x: rgb: "#00dffb" 82440-82447 rgb_led_ws281x: bit: "1" 82447-82453 rgb_led_ws281x: bit: "0" @@ -11098,6 +12427,9 @@ 82570-82576 rgb_led_ws281x: bit: "1" 82576-82582 rgb_led_ws281x: bit: "1" 82582-82589 rgb_led_ws281x: bit: "1" +82440-82490 rgb_led_ws281x: g: "be" +82490-82539 rgb_led_ws281x: r: "00" +82539-82589 rgb_led_ws281x: b: "ff" 82440-82589 rgb_led_ws281x: rgb: "#00beff" 82589-82595 rgb_led_ws281x: bit: "0" 82595-82601 rgb_led_ws281x: bit: "1" @@ -11123,6 +12455,9 @@ 82718-82724 rgb_led_ws281x: bit: "1" 82724-82730 rgb_led_ws281x: bit: "1" 82730-82737 rgb_led_ws281x: bit: "1" +82589-82638 rgb_led_ws281x: g: "7f" +82638-82687 rgb_led_ws281x: r: "00" +82687-82737 rgb_led_ws281x: b: "f7" 82589-82737 rgb_led_ws281x: rgb: "#007ff7" 82737-82743 rgb_led_ws281x: bit: "1" 82743-82749 rgb_led_ws281x: bit: "1" @@ -11148,6 +12483,9 @@ 82866-82872 rgb_led_ws281x: bit: "1" 82872-82878 rgb_led_ws281x: bit: "1" 82878-82885 rgb_led_ws281x: bit: "1" +82737-82786 rgb_led_ws281x: g: "ff" +82786-82835 rgb_led_ws281x: r: "00" +82835-82885 rgb_led_ws281x: b: "ef" 82737-82885 rgb_led_ws281x: rgb: "#00ffef" 82885-82891 rgb_led_ws281x: bit: "1" 82891-82897 rgb_led_ws281x: bit: "1" @@ -11173,6 +12511,9 @@ 83014-83020 rgb_led_ws281x: bit: "1" 83020-83026 rgb_led_ws281x: bit: "1" 83026-83033 rgb_led_ws281x: bit: "1" +82885-82934 rgb_led_ws281x: g: "fb" +82934-82983 rgb_led_ws281x: r: "00" +82983-83033 rgb_led_ws281x: b: "df" 82885-83033 rgb_led_ws281x: rgb: "#00fbdf" 83033-83039 rgb_led_ws281x: bit: "1" 83039-83045 rgb_led_ws281x: bit: "1" @@ -11198,6 +12539,9 @@ 83162-83168 rgb_led_ws281x: bit: "1" 83168-83174 rgb_led_ws281x: bit: "1" 83174-83181 rgb_led_ws281x: bit: "1" +83033-83082 rgb_led_ws281x: g: "f7" +83082-83131 rgb_led_ws281x: r: "00" +83131-83181 rgb_led_ws281x: b: "bf" 83033-83181 rgb_led_ws281x: rgb: "#00f7bf" 83181-83187 rgb_led_ws281x: bit: "1" 83187-83193 rgb_led_ws281x: bit: "1" @@ -11223,6 +12567,9 @@ 83310-83316 rgb_led_ws281x: bit: "1" 83316-83323 rgb_led_ws281x: bit: "1" 83323-83329 rgb_led_ws281x: bit: "0" +83181-83230 rgb_led_ws281x: g: "ef" +83230-83280 rgb_led_ws281x: r: "00" +83280-83329 rgb_led_ws281x: b: "7e" 83181-83329 rgb_led_ws281x: rgb: "#00ef7e" 83329-83335 rgb_led_ws281x: bit: "1" 83335-83341 rgb_led_ws281x: bit: "1" @@ -11248,6 +12595,9 @@ 83458-83465 rgb_led_ws281x: bit: "1" 83465-83471 rgb_led_ws281x: bit: "0" 83471-83477 rgb_led_ws281x: bit: "1" +83329-83378 rgb_led_ws281x: g: "ff" +83378-83428 rgb_led_ws281x: r: "00" +83428-83477 rgb_led_ws281x: b: "fd" 83329-83477 rgb_led_ws281x: rgb: "#00fffd" 83477-83483 rgb_led_ws281x: bit: "1" 83483-83490 rgb_led_ws281x: bit: "1" @@ -11273,6 +12623,9 @@ 83607-83613 rgb_led_ws281x: bit: "0" 83613-83619 rgb_led_ws281x: bit: "1" 83619-83625 rgb_led_ws281x: bit: "1" +83477-83527 rgb_led_ws281x: g: "ff" +83527-83576 rgb_led_ws281x: r: "00" +83576-83625 rgb_led_ws281x: b: "fb" 83477-83625 rgb_led_ws281x: rgb: "#00fffb" 83625-83632 rgb_led_ws281x: bit: "1" 83632-83638 rgb_led_ws281x: bit: "0" @@ -11298,6 +12651,9 @@ 83755-83761 rgb_led_ws281x: bit: "1" 83761-83767 rgb_led_ws281x: bit: "1" 83767-83774 rgb_led_ws281x: bit: "1" +83625-83675 rgb_led_ws281x: g: "be" +83675-83724 rgb_led_ws281x: r: "00" +83724-83774 rgb_led_ws281x: b: "f7" 83625-83774 rgb_led_ws281x: rgb: "#00bef7" 83774-83780 rgb_led_ws281x: bit: "0" 83780-83786 rgb_led_ws281x: bit: "1" @@ -11323,6 +12679,9 @@ 83903-83909 rgb_led_ws281x: bit: "1" 83909-83915 rgb_led_ws281x: bit: "1" 83915-83922 rgb_led_ws281x: bit: "1" +83774-83823 rgb_led_ws281x: g: "7d" +83823-83872 rgb_led_ws281x: r: "00" +83872-83922 rgb_led_ws281x: b: "f7" 83774-83922 rgb_led_ws281x: rgb: "#007df7" 83922-83928 rgb_led_ws281x: bit: "1" 83928-83934 rgb_led_ws281x: bit: "1" @@ -11348,6 +12707,9 @@ 84051-84057 rgb_led_ws281x: bit: "1" 84057-84063 rgb_led_ws281x: bit: "1" 84063-84070 rgb_led_ws281x: bit: "1" +83922-83971 rgb_led_ws281x: g: "ff" +83971-84020 rgb_led_ws281x: r: "00" +84020-84070 rgb_led_ws281x: b: "ef" 83922-84070 rgb_led_ws281x: rgb: "#00ffef" 84070-84076 rgb_led_ws281x: bit: "1" 84076-84082 rgb_led_ws281x: bit: "1" @@ -11373,6 +12735,9 @@ 84199-84205 rgb_led_ws281x: bit: "1" 84205-84211 rgb_led_ws281x: bit: "1" 84211-84218 rgb_led_ws281x: bit: "1" +84070-84119 rgb_led_ws281x: g: "fb" +84119-84168 rgb_led_ws281x: r: "00" +84168-84218 rgb_led_ws281x: b: "df" 84070-84218 rgb_led_ws281x: rgb: "#00fbdf" 84218-84224 rgb_led_ws281x: bit: "1" 84224-84230 rgb_led_ws281x: bit: "1" @@ -11398,6 +12763,9 @@ 84347-84353 rgb_led_ws281x: bit: "1" 84353-84359 rgb_led_ws281x: bit: "1" 84359-84366 rgb_led_ws281x: bit: "1" +84218-84267 rgb_led_ws281x: g: "f7" +84267-84316 rgb_led_ws281x: r: "00" +84316-84366 rgb_led_ws281x: b: "bf" 84218-84366 rgb_led_ws281x: rgb: "#00f7bf" 84366-84372 rgb_led_ws281x: bit: "1" 84372-84378 rgb_led_ws281x: bit: "1" @@ -11423,6 +12791,9 @@ 84495-84501 rgb_led_ws281x: bit: "1" 84501-84508 rgb_led_ws281x: bit: "1" 84508-84514 rgb_led_ws281x: bit: "0" +84366-84415 rgb_led_ws281x: g: "ef" +84415-84465 rgb_led_ws281x: r: "00" +84465-84514 rgb_led_ws281x: b: "7e" 84366-84514 rgb_led_ws281x: rgb: "#00ef7e" 84514-84520 rgb_led_ws281x: bit: "1" 84520-84527 rgb_led_ws281x: bit: "1" @@ -11448,6 +12819,9 @@ 84643-84650 rgb_led_ws281x: bit: "1" 84650-84656 rgb_led_ws281x: bit: "0" 84656-84662 rgb_led_ws281x: bit: "1" +84514-84563 rgb_led_ws281x: g: "df" +84563-84613 rgb_led_ws281x: r: "00" +84613-84662 rgb_led_ws281x: b: "fd" 84514-84662 rgb_led_ws281x: rgb: "#00dffd" 84662-84668 rgb_led_ws281x: bit: "1" 84668-84675 rgb_led_ws281x: bit: "1" @@ -11473,6 +12847,9 @@ 84792-84798 rgb_led_ws281x: bit: "0" 84798-84804 rgb_led_ws281x: bit: "1" 84804-84810 rgb_led_ws281x: bit: "1" +84662-84712 rgb_led_ws281x: g: "ff" +84712-84761 rgb_led_ws281x: r: "00" +84761-84810 rgb_led_ws281x: b: "fb" 84662-84810 rgb_led_ws281x: rgb: "#00fffb" 84810-84817 rgb_led_ws281x: bit: "1" 84817-84823 rgb_led_ws281x: bit: "0" @@ -11498,6 +12875,9 @@ 84940-84946 rgb_led_ws281x: bit: "1" 84946-84952 rgb_led_ws281x: bit: "1" 84952-84959 rgb_led_ws281x: bit: "1" +84810-84860 rgb_led_ws281x: g: "be" +84860-84909 rgb_led_ws281x: r: "00" +84909-84959 rgb_led_ws281x: b: "f7" 84810-84959 rgb_led_ws281x: rgb: "#00bef7" 84959-84965 rgb_led_ws281x: bit: "0" 84965-84971 rgb_led_ws281x: bit: "1" @@ -11523,6 +12903,9 @@ 85088-85094 rgb_led_ws281x: bit: "1" 85094-85100 rgb_led_ws281x: bit: "1" 85100-85107 rgb_led_ws281x: bit: "1" +84959-85008 rgb_led_ws281x: g: "7d" +85008-85057 rgb_led_ws281x: r: "00" +85057-85107 rgb_led_ws281x: b: "ef" 84959-85107 rgb_led_ws281x: rgb: "#007def" 85107-85113 rgb_led_ws281x: bit: "1" 85113-85119 rgb_led_ws281x: bit: "1" @@ -11548,6 +12931,9 @@ 85236-85242 rgb_led_ws281x: bit: "1" 85242-85248 rgb_led_ws281x: bit: "1" 85248-85255 rgb_led_ws281x: bit: "1" +85107-85156 rgb_led_ws281x: g: "ff" +85156-85205 rgb_led_ws281x: r: "00" +85205-85255 rgb_led_ws281x: b: "ef" 85107-85255 rgb_led_ws281x: rgb: "#00ffef" 85255-85261 rgb_led_ws281x: bit: "1" 85261-85267 rgb_led_ws281x: bit: "1" @@ -11573,6 +12959,9 @@ 85384-85390 rgb_led_ws281x: bit: "1" 85390-85396 rgb_led_ws281x: bit: "1" 85396-85403 rgb_led_ws281x: bit: "1" +85255-85304 rgb_led_ws281x: g: "ff" +85304-85353 rgb_led_ws281x: r: "00" +85353-85403 rgb_led_ws281x: b: "df" 85255-85403 rgb_led_ws281x: rgb: "#00ffdf" 85403-85409 rgb_led_ws281x: bit: "1" 85409-85415 rgb_led_ws281x: bit: "1" @@ -11598,6 +12987,9 @@ 85532-85538 rgb_led_ws281x: bit: "1" 85538-85545 rgb_led_ws281x: bit: "1" 85545-85551 rgb_led_ws281x: bit: "0" +85403-85452 rgb_led_ws281x: g: "f7" +85452-85501 rgb_led_ws281x: r: "00" +85501-85551 rgb_led_ws281x: b: "be" 85403-85551 rgb_led_ws281x: rgb: "#00f7be" 85551-85557 rgb_led_ws281x: bit: "1" 85557-85563 rgb_led_ws281x: bit: "1" @@ -11623,6 +13015,9 @@ 85680-85686 rgb_led_ws281x: bit: "1" 85686-85693 rgb_led_ws281x: bit: "1" 85693-85699 rgb_led_ws281x: bit: "1" +85551-85600 rgb_led_ws281x: g: "ef" +85600-85650 rgb_led_ws281x: r: "00" +85650-85699 rgb_led_ws281x: b: "7f" 85551-85699 rgb_led_ws281x: rgb: "#00ef7f" 85699-85705 rgb_led_ws281x: bit: "1" 85705-85712 rgb_led_ws281x: bit: "1" @@ -11648,6 +13043,9 @@ 85828-85835 rgb_led_ws281x: bit: "1" 85835-85841 rgb_led_ws281x: bit: "0" 85841-85847 rgb_led_ws281x: bit: "1" +85699-85748 rgb_led_ws281x: g: "df" +85748-85798 rgb_led_ws281x: r: "00" +85798-85847 rgb_led_ws281x: b: "fd" 85699-85847 rgb_led_ws281x: rgb: "#00dffd" 85847-85854 rgb_led_ws281x: bit: "1" 85854-85860 rgb_led_ws281x: bit: "0" @@ -11673,6 +13071,9 @@ 85977-85983 rgb_led_ws281x: bit: "0" 85983-85989 rgb_led_ws281x: bit: "1" 85989-85995 rgb_led_ws281x: bit: "1" +85847-85897 rgb_led_ws281x: g: "bf" +85897-85946 rgb_led_ws281x: r: "00" +85946-85995 rgb_led_ws281x: b: "fb" 85847-85995 rgb_led_ws281x: rgb: "#00bffb" 85995-86002 rgb_led_ws281x: bit: "1" 86002-86008 rgb_led_ws281x: bit: "1" @@ -11698,6 +13099,9 @@ 86125-86131 rgb_led_ws281x: bit: "1" 86131-86137 rgb_led_ws281x: bit: "1" 86137-86144 rgb_led_ws281x: bit: "1" +85995-86045 rgb_led_ws281x: g: "fe" +86045-86094 rgb_led_ws281x: r: "00" +86094-86144 rgb_led_ws281x: b: "f7" 85995-86144 rgb_led_ws281x: rgb: "#00fef7" 86144-86150 rgb_led_ws281x: bit: "0" 86150-86156 rgb_led_ws281x: bit: "1" @@ -11723,6 +13127,9 @@ 86273-86279 rgb_led_ws281x: bit: "1" 86279-86285 rgb_led_ws281x: bit: "1" 86285-86292 rgb_led_ws281x: bit: "1" +86144-86193 rgb_led_ws281x: g: "7d" +86193-86242 rgb_led_ws281x: r: "00" +86242-86292 rgb_led_ws281x: b: "ef" 86144-86292 rgb_led_ws281x: rgb: "#007def" 86292-86298 rgb_led_ws281x: bit: "1" 86298-86304 rgb_led_ws281x: bit: "1" @@ -11748,6 +13155,9 @@ 86421-86427 rgb_led_ws281x: bit: "1" 86427-86433 rgb_led_ws281x: bit: "1" 86433-86440 rgb_led_ws281x: bit: "1" +86292-86341 rgb_led_ws281x: g: "ff" +86341-86390 rgb_led_ws281x: r: "00" +86390-86440 rgb_led_ws281x: b: "ff" 86292-86440 rgb_led_ws281x: rgb: "#00ffff" 86440-86446 rgb_led_ws281x: bit: "1" 86446-86452 rgb_led_ws281x: bit: "1" @@ -11773,6 +13183,9 @@ 86569-86575 rgb_led_ws281x: bit: "1" 86575-86581 rgb_led_ws281x: bit: "1" 86581-86588 rgb_led_ws281x: bit: "1" +86440-86489 rgb_led_ws281x: g: "ff" +86489-86538 rgb_led_ws281x: r: "00" +86538-86588 rgb_led_ws281x: b: "df" 86440-86588 rgb_led_ws281x: rgb: "#00ffdf" 86588-86594 rgb_led_ws281x: bit: "1" 86594-86600 rgb_led_ws281x: bit: "1" @@ -11798,6 +13211,9 @@ 86717-86723 rgb_led_ws281x: bit: "1" 86723-86730 rgb_led_ws281x: bit: "1" 86730-86736 rgb_led_ws281x: bit: "0" +86588-86637 rgb_led_ws281x: g: "f7" +86637-86686 rgb_led_ws281x: r: "00" +86686-86736 rgb_led_ws281x: b: "be" 86588-86736 rgb_led_ws281x: rgb: "#00f7be" 86736-86742 rgb_led_ws281x: bit: "1" 86742-86748 rgb_led_ws281x: bit: "1" @@ -11823,6 +13239,9 @@ 86865-86871 rgb_led_ws281x: bit: "1" 86871-86878 rgb_led_ws281x: bit: "1" 86878-86884 rgb_led_ws281x: bit: "1" +86736-86785 rgb_led_ws281x: g: "ef" +86785-86835 rgb_led_ws281x: r: "00" +86835-86884 rgb_led_ws281x: b: "7f" 86736-86884 rgb_led_ws281x: rgb: "#00ef7f" 86884-86890 rgb_led_ws281x: bit: "1" 86890-86897 rgb_led_ws281x: bit: "1" @@ -11848,6 +13267,9 @@ 87013-87020 rgb_led_ws281x: bit: "1" 87020-87026 rgb_led_ws281x: bit: "0" 87026-87032 rgb_led_ws281x: bit: "1" +86884-86933 rgb_led_ws281x: g: "df" +86933-86983 rgb_led_ws281x: r: "00" +86983-87032 rgb_led_ws281x: b: "fd" 86884-87032 rgb_led_ws281x: rgb: "#00dffd" 87032-87039 rgb_led_ws281x: bit: "1" 87039-87045 rgb_led_ws281x: bit: "0" @@ -11873,6 +13295,9 @@ 87162-87168 rgb_led_ws281x: bit: "0" 87168-87174 rgb_led_ws281x: bit: "1" 87174-87180 rgb_led_ws281x: bit: "1" +87032-87082 rgb_led_ws281x: g: "bf" +87082-87131 rgb_led_ws281x: r: "00" +87131-87180 rgb_led_ws281x: b: "fb" 87032-87180 rgb_led_ws281x: rgb: "#00bffb" 87180-87187 rgb_led_ws281x: bit: "1" 87187-87193 rgb_led_ws281x: bit: "1" @@ -11898,6 +13323,9 @@ 87310-87316 rgb_led_ws281x: bit: "1" 87316-87322 rgb_led_ws281x: bit: "1" 87322-87329 rgb_led_ws281x: bit: "1" +87180-87230 rgb_led_ws281x: g: "fe" +87230-87279 rgb_led_ws281x: r: "00" +87279-87329 rgb_led_ws281x: b: "f7" 87180-87329 rgb_led_ws281x: rgb: "#00fef7" 87329-87335 rgb_led_ws281x: bit: "1" 87335-87341 rgb_led_ws281x: bit: "1" @@ -11923,6 +13351,9 @@ 87458-87464 rgb_led_ws281x: bit: "1" 87464-87470 rgb_led_ws281x: bit: "1" 87470-87477 rgb_led_ws281x: bit: "1" +87329-87378 rgb_led_ws281x: g: "fd" +87378-87427 rgb_led_ws281x: r: "00" +87427-87477 rgb_led_ws281x: b: "ef" 87329-87477 rgb_led_ws281x: rgb: "#00fdef" 87477-87483 rgb_led_ws281x: bit: "1" 87483-87489 rgb_led_ws281x: bit: "1" @@ -11948,6 +13379,9 @@ 87606-87612 rgb_led_ws281x: bit: "1" 87612-87618 rgb_led_ws281x: bit: "1" 87618-87625 rgb_led_ws281x: bit: "1" +87477-87526 rgb_led_ws281x: g: "fb" +87526-87575 rgb_led_ws281x: r: "00" +87575-87625 rgb_led_ws281x: b: "ff" 87477-87625 rgb_led_ws281x: rgb: "#00fbff" 87625-87631 rgb_led_ws281x: bit: "1" 87631-87637 rgb_led_ws281x: bit: "1" @@ -11973,6 +13407,9 @@ 87754-87760 rgb_led_ws281x: bit: "1" 87760-87766 rgb_led_ws281x: bit: "1" 87766-87773 rgb_led_ws281x: bit: "1" +87625-87674 rgb_led_ws281x: g: "ff" +87674-87723 rgb_led_ws281x: r: "00" +87723-87773 rgb_led_ws281x: b: "ff" 87625-87773 rgb_led_ws281x: rgb: "#00ffff" 87773-87779 rgb_led_ws281x: bit: "1" 87779-87785 rgb_led_ws281x: bit: "1" @@ -11998,6 +13435,9 @@ 87902-87908 rgb_led_ws281x: bit: "1" 87908-87915 rgb_led_ws281x: bit: "1" 87915-87921 rgb_led_ws281x: bit: "0" +87773-87822 rgb_led_ws281x: g: "f7" +87822-87871 rgb_led_ws281x: r: "00" +87871-87921 rgb_led_ws281x: b: "be" 87773-87921 rgb_led_ws281x: rgb: "#00f7be" 87921-87927 rgb_led_ws281x: bit: "1" 87927-87933 rgb_led_ws281x: bit: "1" @@ -12023,6 +13463,9 @@ 88050-88057 rgb_led_ws281x: bit: "1" 88057-88063 rgb_led_ws281x: bit: "0" 88063-88069 rgb_led_ws281x: bit: "1" +87921-87970 rgb_led_ws281x: g: "ef" +87970-88020 rgb_led_ws281x: r: "00" +88020-88069 rgb_led_ws281x: b: "7d" 87921-88069 rgb_led_ws281x: rgb: "#00ef7d" 88069-88075 rgb_led_ws281x: bit: "1" 88075-88082 rgb_led_ws281x: bit: "1" @@ -12048,6 +13491,9 @@ 88198-88205 rgb_led_ws281x: bit: "1" 88205-88211 rgb_led_ws281x: bit: "1" 88211-88217 rgb_led_ws281x: bit: "1" +88069-88118 rgb_led_ws281x: g: "df" +88118-88168 rgb_led_ws281x: r: "00" +88168-88217 rgb_led_ws281x: b: "ff" 88069-88217 rgb_led_ws281x: rgb: "#00dfff" 88217-88224 rgb_led_ws281x: bit: "1" 88224-88230 rgb_led_ws281x: bit: "0" @@ -12073,6 +13519,9 @@ 88347-88353 rgb_led_ws281x: bit: "0" 88353-88359 rgb_led_ws281x: bit: "1" 88359-88366 rgb_led_ws281x: bit: "1" +88217-88267 rgb_led_ws281x: g: "bf" +88267-88316 rgb_led_ws281x: r: "00" +88316-88366 rgb_led_ws281x: b: "fb" 88217-88366 rgb_led_ws281x: rgb: "#00bffb" 88366-88372 rgb_led_ws281x: bit: "0" 88372-88378 rgb_led_ws281x: bit: "1" @@ -12098,6 +13547,9 @@ 88495-88501 rgb_led_ws281x: bit: "1" 88501-88507 rgb_led_ws281x: bit: "1" 88507-88514 rgb_led_ws281x: bit: "1" +88366-88415 rgb_led_ws281x: g: "7e" +88415-88464 rgb_led_ws281x: r: "00" +88464-88514 rgb_led_ws281x: b: "f7" 88366-88514 rgb_led_ws281x: rgb: "#007ef7" 88514-88520 rgb_led_ws281x: bit: "1" 88520-88526 rgb_led_ws281x: bit: "1" @@ -12123,6 +13575,9 @@ 88643-88649 rgb_led_ws281x: bit: "1" 88649-88655 rgb_led_ws281x: bit: "1" 88655-88662 rgb_led_ws281x: bit: "1" +88514-88563 rgb_led_ws281x: g: "fd" +88563-88612 rgb_led_ws281x: r: "00" +88612-88662 rgb_led_ws281x: b: "ef" 88514-88662 rgb_led_ws281x: rgb: "#00fdef" 88662-88668 rgb_led_ws281x: bit: "1" 88668-88674 rgb_led_ws281x: bit: "1" @@ -12148,6 +13603,9 @@ 88791-88797 rgb_led_ws281x: bit: "1" 88797-88803 rgb_led_ws281x: bit: "1" 88803-88810 rgb_led_ws281x: bit: "1" +88662-88711 rgb_led_ws281x: g: "fb" +88711-88760 rgb_led_ws281x: r: "00" +88760-88810 rgb_led_ws281x: b: "df" 88662-88810 rgb_led_ws281x: rgb: "#00fbdf" 88810-88816 rgb_led_ws281x: bit: "1" 88816-88822 rgb_led_ws281x: bit: "1" @@ -12173,6 +13631,9 @@ 88939-88945 rgb_led_ws281x: bit: "1" 88945-88951 rgb_led_ws281x: bit: "1" 88951-88958 rgb_led_ws281x: bit: "1" +88810-88859 rgb_led_ws281x: g: "ff" +88859-88908 rgb_led_ws281x: r: "00" +88908-88958 rgb_led_ws281x: b: "ff" 88810-88958 rgb_led_ws281x: rgb: "#00ffff" 88958-88964 rgb_led_ws281x: bit: "1" 88964-88970 rgb_led_ws281x: bit: "1" @@ -12198,6 +13659,9 @@ 89087-89093 rgb_led_ws281x: bit: "1" 89093-89100 rgb_led_ws281x: bit: "1" 89100-89106 rgb_led_ws281x: bit: "0" +88958-89007 rgb_led_ws281x: g: "ff" +89007-89056 rgb_led_ws281x: r: "00" +89056-89106 rgb_led_ws281x: b: "be" 88958-89106 rgb_led_ws281x: rgb: "#00ffbe" 89106-89112 rgb_led_ws281x: bit: "1" 89112-89118 rgb_led_ws281x: bit: "1" @@ -12223,6 +13687,9 @@ 89235-89242 rgb_led_ws281x: bit: "1" 89242-89248 rgb_led_ws281x: bit: "0" 89248-89254 rgb_led_ws281x: bit: "1" +89106-89155 rgb_led_ws281x: g: "ef" +89155-89205 rgb_led_ws281x: r: "00" +89205-89254 rgb_led_ws281x: b: "7d" 89106-89254 rgb_led_ws281x: rgb: "#00ef7d" 89254-89260 rgb_led_ws281x: bit: "1" 89260-89267 rgb_led_ws281x: bit: "1" @@ -12248,6 +13715,9 @@ 89383-89390 rgb_led_ws281x: bit: "1" 89390-89396 rgb_led_ws281x: bit: "1" 89396-89402 rgb_led_ws281x: bit: "1" +89254-89304 rgb_led_ws281x: g: "df" +89304-89353 rgb_led_ws281x: r: "00" +89353-89402 rgb_led_ws281x: b: "ff" 89254-89402 rgb_led_ws281x: rgb: "#00dfff" 89402-89409 rgb_led_ws281x: bit: "1" 89409-89415 rgb_led_ws281x: bit: "0" @@ -12273,6 +13743,9 @@ 89532-89538 rgb_led_ws281x: bit: "0" 89538-89544 rgb_led_ws281x: bit: "1" 89544-89551 rgb_led_ws281x: bit: "1" +89402-89452 rgb_led_ws281x: g: "bf" +89452-89501 rgb_led_ws281x: r: "00" +89501-89551 rgb_led_ws281x: b: "fb" 89402-89551 rgb_led_ws281x: rgb: "#00bffb" 89551-89557 rgb_led_ws281x: bit: "0" 89557-89563 rgb_led_ws281x: bit: "1" @@ -12298,6 +13771,9 @@ 89680-89686 rgb_led_ws281x: bit: "1" 89686-89692 rgb_led_ws281x: bit: "1" 89692-89699 rgb_led_ws281x: bit: "1" +89551-89600 rgb_led_ws281x: g: "7e" +89600-89649 rgb_led_ws281x: r: "00" +89649-89699 rgb_led_ws281x: b: "f7" 89551-89699 rgb_led_ws281x: rgb: "#007ef7" 89699-89705 rgb_led_ws281x: bit: "1" 89705-89711 rgb_led_ws281x: bit: "1" @@ -12323,6 +13799,9 @@ 89828-89834 rgb_led_ws281x: bit: "1" 89834-89840 rgb_led_ws281x: bit: "1" 89840-89847 rgb_led_ws281x: bit: "1" +89699-89748 rgb_led_ws281x: g: "fd" +89748-89797 rgb_led_ws281x: r: "00" +89797-89847 rgb_led_ws281x: b: "ef" 89699-89847 rgb_led_ws281x: rgb: "#00fdef" 89847-89853 rgb_led_ws281x: bit: "1" 89853-89859 rgb_led_ws281x: bit: "1" @@ -12348,6 +13827,9 @@ 89976-89982 rgb_led_ws281x: bit: "1" 89982-89988 rgb_led_ws281x: bit: "1" 89988-89995 rgb_led_ws281x: bit: "1" +89847-89896 rgb_led_ws281x: g: "fb" +89896-89945 rgb_led_ws281x: r: "00" +89945-89995 rgb_led_ws281x: b: "df" 89847-89995 rgb_led_ws281x: rgb: "#00fbdf" 89995-90001 rgb_led_ws281x: bit: "1" 90001-90007 rgb_led_ws281x: bit: "1" @@ -12373,6 +13855,9 @@ 90124-90130 rgb_led_ws281x: bit: "1" 90130-90136 rgb_led_ws281x: bit: "1" 90136-90143 rgb_led_ws281x: bit: "1" +89995-90044 rgb_led_ws281x: g: "f7" +90044-90093 rgb_led_ws281x: r: "00" +90093-90143 rgb_led_ws281x: b: "bf" 89995-90143 rgb_led_ws281x: rgb: "#00f7bf" 90143-90149 rgb_led_ws281x: bit: "1" 90149-90155 rgb_led_ws281x: bit: "1" @@ -12398,6 +13883,9 @@ 90272-90278 rgb_led_ws281x: bit: "1" 90278-90285 rgb_led_ws281x: bit: "1" 90285-90291 rgb_led_ws281x: bit: "0" +90143-90192 rgb_led_ws281x: g: "ff" +90192-90241 rgb_led_ws281x: r: "00" +90241-90291 rgb_led_ws281x: b: "fe" 90143-90291 rgb_led_ws281x: rgb: "#00fffe" 90291-90297 rgb_led_ws281x: bit: "1" 90297-90303 rgb_led_ws281x: bit: "1" @@ -12423,6 +13911,9 @@ 90420-90427 rgb_led_ws281x: bit: "1" 90427-90433 rgb_led_ws281x: bit: "0" 90433-90439 rgb_led_ws281x: bit: "1" +90291-90340 rgb_led_ws281x: g: "ef" +90340-90390 rgb_led_ws281x: r: "00" +90390-90439 rgb_led_ws281x: b: "7d" 90291-90439 rgb_led_ws281x: rgb: "#00ef7d" 90439-90445 rgb_led_ws281x: bit: "1" 90445-90452 rgb_led_ws281x: bit: "1" @@ -12448,6 +13939,9 @@ 90568-90575 rgb_led_ws281x: bit: "1" 90575-90581 rgb_led_ws281x: bit: "1" 90581-90587 rgb_led_ws281x: bit: "1" +90439-90489 rgb_led_ws281x: g: "df" +90489-90538 rgb_led_ws281x: r: "00" +90538-90587 rgb_led_ws281x: b: "ff" 90439-90587 rgb_led_ws281x: rgb: "#00dfff" 90587-90594 rgb_led_ws281x: bit: "1" 90594-90600 rgb_led_ws281x: bit: "0" @@ -12473,6 +13967,9 @@ 90717-90723 rgb_led_ws281x: bit: "1" 90723-90729 rgb_led_ws281x: bit: "1" 90729-90736 rgb_led_ws281x: bit: "1" +90587-90637 rgb_led_ws281x: g: "bf" +90637-90686 rgb_led_ws281x: r: "00" +90686-90736 rgb_led_ws281x: b: "ff" 90587-90736 rgb_led_ws281x: rgb: "#00bfff" 90736-90742 rgb_led_ws281x: bit: "0" 90742-90748 rgb_led_ws281x: bit: "1" @@ -12498,6 +13995,9 @@ 90865-90871 rgb_led_ws281x: bit: "1" 90871-90877 rgb_led_ws281x: bit: "1" 90877-90884 rgb_led_ws281x: bit: "1" +90736-90785 rgb_led_ws281x: g: "7f" +90785-90834 rgb_led_ws281x: r: "00" +90834-90884 rgb_led_ws281x: b: "f7" 90736-90884 rgb_led_ws281x: rgb: "#007ff7" 90884-90890 rgb_led_ws281x: bit: "1" 90890-90896 rgb_led_ws281x: bit: "1" @@ -12523,6 +14023,9 @@ 91013-91019 rgb_led_ws281x: bit: "1" 91019-91025 rgb_led_ws281x: bit: "1" 91025-91032 rgb_led_ws281x: bit: "1" +90884-90933 rgb_led_ws281x: g: "fd" +90933-90982 rgb_led_ws281x: r: "00" +90982-91032 rgb_led_ws281x: b: "ef" 90884-91032 rgb_led_ws281x: rgb: "#00fdef" 91032-91038 rgb_led_ws281x: bit: "1" 91038-91044 rgb_led_ws281x: bit: "1" @@ -12548,6 +14051,9 @@ 91161-91167 rgb_led_ws281x: bit: "1" 91167-91173 rgb_led_ws281x: bit: "1" 91173-91180 rgb_led_ws281x: bit: "1" +91032-91081 rgb_led_ws281x: g: "fb" +91081-91130 rgb_led_ws281x: r: "00" +91130-91180 rgb_led_ws281x: b: "df" 91032-91180 rgb_led_ws281x: rgb: "#00fbdf" 91180-91186 rgb_led_ws281x: bit: "1" 91186-91192 rgb_led_ws281x: bit: "1" @@ -12573,6 +14079,9 @@ 91309-91315 rgb_led_ws281x: bit: "1" 91315-91321 rgb_led_ws281x: bit: "1" 91321-91328 rgb_led_ws281x: bit: "1" +91180-91229 rgb_led_ws281x: g: "f7" +91229-91278 rgb_led_ws281x: r: "00" +91278-91328 rgb_led_ws281x: b: "bf" 91180-91328 rgb_led_ws281x: rgb: "#00f7bf" 91328-91334 rgb_led_ws281x: bit: "1" 91334-91340 rgb_led_ws281x: bit: "1" @@ -12598,6 +14107,9 @@ 91457-91463 rgb_led_ws281x: bit: "1" 91463-91470 rgb_led_ws281x: bit: "1" 91470-91476 rgb_led_ws281x: bit: "0" +91328-91377 rgb_led_ws281x: g: "ef" +91377-91427 rgb_led_ws281x: r: "00" +91427-91476 rgb_led_ws281x: b: "7e" 91328-91476 rgb_led_ws281x: rgb: "#00ef7e" 91476-91482 rgb_led_ws281x: bit: "1" 91482-91488 rgb_led_ws281x: bit: "1" @@ -12623,6 +14135,9 @@ 91605-91612 rgb_led_ws281x: bit: "1" 91612-91618 rgb_led_ws281x: bit: "0" 91618-91624 rgb_led_ws281x: bit: "1" +91476-91525 rgb_led_ws281x: g: "ff" +91525-91575 rgb_led_ws281x: r: "00" +91575-91624 rgb_led_ws281x: b: "7d" 91476-91624 rgb_led_ws281x: rgb: "#00ff7d" 91624-91630 rgb_led_ws281x: bit: "1" 91630-91637 rgb_led_ws281x: bit: "1" @@ -12648,6 +14163,9 @@ 91754-91760 rgb_led_ws281x: bit: "0" 91760-91766 rgb_led_ws281x: bit: "1" 91766-91772 rgb_led_ws281x: bit: "1" +91624-91674 rgb_led_ws281x: g: "df" +91674-91723 rgb_led_ws281x: r: "00" +91723-91772 rgb_led_ws281x: b: "fb" 91624-91772 rgb_led_ws281x: rgb: "#00dffb" 91772-91779 rgb_led_ws281x: bit: "1" 91779-91785 rgb_led_ws281x: bit: "0" @@ -12673,6 +14191,9 @@ 91902-91908 rgb_led_ws281x: bit: "1" 91908-91914 rgb_led_ws281x: bit: "1" 91914-91921 rgb_led_ws281x: bit: "1" +91772-91822 rgb_led_ws281x: g: "be" +91822-91871 rgb_led_ws281x: r: "00" +91871-91921 rgb_led_ws281x: b: "ff" 91772-91921 rgb_led_ws281x: rgb: "#00beff" 91921-91927 rgb_led_ws281x: bit: "0" 91927-91933 rgb_led_ws281x: bit: "1" @@ -12698,6 +14219,9 @@ 92050-92056 rgb_led_ws281x: bit: "1" 92056-92062 rgb_led_ws281x: bit: "1" 92062-92069 rgb_led_ws281x: bit: "1" +91921-91970 rgb_led_ws281x: g: "7f" +91970-92019 rgb_led_ws281x: r: "00" +92019-92069 rgb_led_ws281x: b: "f7" 91921-92069 rgb_led_ws281x: rgb: "#007ff7" 92069-92075 rgb_led_ws281x: bit: "1" 92075-92081 rgb_led_ws281x: bit: "1" @@ -12723,6 +14247,9 @@ 92198-92204 rgb_led_ws281x: bit: "1" 92204-92210 rgb_led_ws281x: bit: "1" 92210-92217 rgb_led_ws281x: bit: "1" +92069-92118 rgb_led_ws281x: g: "fd" +92118-92167 rgb_led_ws281x: r: "00" +92167-92217 rgb_led_ws281x: b: "ef" 92069-92217 rgb_led_ws281x: rgb: "#00fdef" 92217-92223 rgb_led_ws281x: bit: "1" 92223-92229 rgb_led_ws281x: bit: "1" @@ -12747,6 +14274,9 @@ 92340-92346 rgb_led_ws281x: bit: "1" 92346-92352 rgb_led_ws281x: bit: "1" 92352-92358 rgb_led_ws281x: bit: "1" +92217-92266 rgb_led_ws281x: g: "fb" +92266-92315 rgb_led_ws281x: r: "00" +92315-92362 rgb_led_ws281x: b: "df" 92217-92362 rgb_led_ws281x: rgb: "#00fbdf" 92358-92362 rgb_led_ws281x: bit: "1" 92362-92613 rgb_led_ws281x: reset: "RESET" "RST" "R" diff --git a/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch2.output b/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch2.output index e506566..20a1222 100644 --- a/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch2.output +++ b/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch2.output @@ -22,6 +22,9 @@ 5158-5164 rgb_led_ws281x: bit: "1" 5164-5170 rgb_led_ws281x: bit: "1" 5170-5177 rgb_led_ws281x: bit: "1" +5029-5078 rgb_led_ws281x: g: "00" +5078-5127 rgb_led_ws281x: r: "00" +5127-5177 rgb_led_ws281x: b: "f7" 5029-5177 rgb_led_ws281x: rgb: "#0000f7" 5177-5183 rgb_led_ws281x: bit: "0" 5183-5189 rgb_led_ws281x: bit: "0" @@ -47,6 +50,9 @@ 5306-5312 rgb_led_ws281x: bit: "1" 5312-5318 rgb_led_ws281x: bit: "1" 5318-5325 rgb_led_ws281x: bit: "1" +5177-5226 rgb_led_ws281x: g: "00" +5226-5275 rgb_led_ws281x: r: "00" +5275-5325 rgb_led_ws281x: b: "ef" 5177-5325 rgb_led_ws281x: rgb: "#0000ef" 5325-5331 rgb_led_ws281x: bit: "0" 5331-5337 rgb_led_ws281x: bit: "0" @@ -72,6 +78,9 @@ 5454-5460 rgb_led_ws281x: bit: "1" 5460-5466 rgb_led_ws281x: bit: "1" 5466-5473 rgb_led_ws281x: bit: "1" +5325-5374 rgb_led_ws281x: g: "00" +5374-5423 rgb_led_ws281x: r: "00" +5423-5473 rgb_led_ws281x: b: "df" 5325-5473 rgb_led_ws281x: rgb: "#0000df" 5473-5479 rgb_led_ws281x: bit: "0" 5479-5485 rgb_led_ws281x: bit: "0" @@ -97,6 +106,9 @@ 5602-5608 rgb_led_ws281x: bit: "1" 5608-5614 rgb_led_ws281x: bit: "1" 5614-5621 rgb_led_ws281x: bit: "1" +5473-5522 rgb_led_ws281x: g: "00" +5522-5571 rgb_led_ws281x: r: "00" +5571-5621 rgb_led_ws281x: b: "bf" 5473-5621 rgb_led_ws281x: rgb: "#0000bf" 5621-5627 rgb_led_ws281x: bit: "0" 5627-5633 rgb_led_ws281x: bit: "0" @@ -122,6 +134,9 @@ 5750-5756 rgb_led_ws281x: bit: "1" 5756-5763 rgb_led_ws281x: bit: "1" 5763-5769 rgb_led_ws281x: bit: "0" +5621-5670 rgb_led_ws281x: g: "00" +5670-5719 rgb_led_ws281x: r: "00" +5719-5769 rgb_led_ws281x: b: "fe" 5621-5769 rgb_led_ws281x: rgb: "#0000fe" 5769-5775 rgb_led_ws281x: bit: "0" 5775-5781 rgb_led_ws281x: bit: "0" @@ -147,6 +162,9 @@ 5898-5905 rgb_led_ws281x: bit: "1" 5905-5911 rgb_led_ws281x: bit: "0" 5911-5917 rgb_led_ws281x: bit: "1" +5769-5818 rgb_led_ws281x: g: "00" +5818-5868 rgb_led_ws281x: r: "00" +5868-5917 rgb_led_ws281x: b: "7d" 5769-5917 rgb_led_ws281x: rgb: "#00007d" 5917-5923 rgb_led_ws281x: bit: "0" 5923-5930 rgb_led_ws281x: bit: "0" @@ -172,6 +190,9 @@ 6046-6053 rgb_led_ws281x: bit: "1" 6053-6059 rgb_led_ws281x: bit: "1" 6059-6065 rgb_led_ws281x: bit: "1" +5917-5967 rgb_led_ws281x: g: "00" +5967-6016 rgb_led_ws281x: r: "00" +6016-6065 rgb_led_ws281x: b: "ff" 5917-6065 rgb_led_ws281x: rgb: "#0000ff" 6065-6072 rgb_led_ws281x: bit: "0" 6072-6078 rgb_led_ws281x: bit: "0" @@ -197,6 +218,9 @@ 6195-6201 rgb_led_ws281x: bit: "1" 6201-6207 rgb_led_ws281x: bit: "1" 6207-6214 rgb_led_ws281x: bit: "1" +6065-6115 rgb_led_ws281x: g: "00" +6115-6164 rgb_led_ws281x: r: "00" +6164-6214 rgb_led_ws281x: b: "ff" 6065-6214 rgb_led_ws281x: rgb: "#0000ff" 6214-6220 rgb_led_ws281x: bit: "0" 6220-6226 rgb_led_ws281x: bit: "0" @@ -222,6 +246,9 @@ 6343-6349 rgb_led_ws281x: bit: "1" 6349-6355 rgb_led_ws281x: bit: "1" 6355-6362 rgb_led_ws281x: bit: "1" +6214-6263 rgb_led_ws281x: g: "00" +6263-6312 rgb_led_ws281x: r: "00" +6312-6362 rgb_led_ws281x: b: "f7" 6214-6362 rgb_led_ws281x: rgb: "#0000f7" 6362-6368 rgb_led_ws281x: bit: "0" 6368-6374 rgb_led_ws281x: bit: "0" @@ -247,6 +274,9 @@ 6491-6497 rgb_led_ws281x: bit: "1" 6497-6503 rgb_led_ws281x: bit: "1" 6503-6510 rgb_led_ws281x: bit: "1" +6362-6411 rgb_led_ws281x: g: "00" +6411-6460 rgb_led_ws281x: r: "00" +6460-6510 rgb_led_ws281x: b: "ef" 6362-6510 rgb_led_ws281x: rgb: "#0000ef" 6510-6516 rgb_led_ws281x: bit: "0" 6516-6522 rgb_led_ws281x: bit: "0" @@ -272,6 +302,9 @@ 6639-6645 rgb_led_ws281x: bit: "1" 6645-6651 rgb_led_ws281x: bit: "1" 6651-6658 rgb_led_ws281x: bit: "1" +6510-6559 rgb_led_ws281x: g: "00" +6559-6608 rgb_led_ws281x: r: "00" +6608-6658 rgb_led_ws281x: b: "df" 6510-6658 rgb_led_ws281x: rgb: "#0000df" 6658-6664 rgb_led_ws281x: bit: "0" 6664-6670 rgb_led_ws281x: bit: "0" @@ -297,6 +330,9 @@ 6787-6793 rgb_led_ws281x: bit: "1" 6793-6799 rgb_led_ws281x: bit: "1" 6799-6806 rgb_led_ws281x: bit: "1" +6658-6707 rgb_led_ws281x: g: "00" +6707-6756 rgb_led_ws281x: r: "00" +6756-6806 rgb_led_ws281x: b: "bf" 6658-6806 rgb_led_ws281x: rgb: "#0000bf" 6806-6812 rgb_led_ws281x: bit: "0" 6812-6818 rgb_led_ws281x: bit: "0" @@ -322,6 +358,9 @@ 6935-6941 rgb_led_ws281x: bit: "1" 6941-6948 rgb_led_ws281x: bit: "1" 6948-6954 rgb_led_ws281x: bit: "0" +6806-6855 rgb_led_ws281x: g: "00" +6855-6904 rgb_led_ws281x: r: "00" +6904-6954 rgb_led_ws281x: b: "fe" 6806-6954 rgb_led_ws281x: rgb: "#0000fe" 6954-6960 rgb_led_ws281x: bit: "0" 6960-6966 rgb_led_ws281x: bit: "0" @@ -347,6 +386,9 @@ 7083-7090 rgb_led_ws281x: bit: "1" 7090-7096 rgb_led_ws281x: bit: "0" 7096-7102 rgb_led_ws281x: bit: "1" +6954-7003 rgb_led_ws281x: g: "00" +7003-7053 rgb_led_ws281x: r: "00" +7053-7102 rgb_led_ws281x: b: "7d" 6954-7102 rgb_led_ws281x: rgb: "#00007d" 7102-7108 rgb_led_ws281x: bit: "0" 7108-7115 rgb_led_ws281x: bit: "0" @@ -372,6 +414,9 @@ 7232-7238 rgb_led_ws281x: bit: "0" 7238-7244 rgb_led_ws281x: bit: "1" 7244-7250 rgb_led_ws281x: bit: "1" +7102-7152 rgb_led_ws281x: g: "00" +7152-7201 rgb_led_ws281x: r: "00" +7201-7250 rgb_led_ws281x: b: "fb" 7102-7250 rgb_led_ws281x: rgb: "#0000fb" 7250-7257 rgb_led_ws281x: bit: "0" 7257-7263 rgb_led_ws281x: bit: "0" @@ -397,6 +442,9 @@ 7380-7386 rgb_led_ws281x: bit: "1" 7386-7392 rgb_led_ws281x: bit: "1" 7392-7399 rgb_led_ws281x: bit: "1" +7250-7300 rgb_led_ws281x: g: "00" +7300-7349 rgb_led_ws281x: r: "00" +7349-7399 rgb_led_ws281x: b: "ff" 7250-7399 rgb_led_ws281x: rgb: "#0000ff" 7399-7405 rgb_led_ws281x: bit: "0" 7405-7411 rgb_led_ws281x: bit: "0" @@ -422,6 +470,9 @@ 7528-7534 rgb_led_ws281x: bit: "1" 7534-7540 rgb_led_ws281x: bit: "1" 7540-7547 rgb_led_ws281x: bit: "1" +7399-7448 rgb_led_ws281x: g: "00" +7448-7497 rgb_led_ws281x: r: "00" +7497-7547 rgb_led_ws281x: b: "f7" 7399-7547 rgb_led_ws281x: rgb: "#0000f7" 7547-7553 rgb_led_ws281x: bit: "0" 7553-7559 rgb_led_ws281x: bit: "0" @@ -447,6 +498,9 @@ 7676-7682 rgb_led_ws281x: bit: "1" 7682-7688 rgb_led_ws281x: bit: "1" 7688-7695 rgb_led_ws281x: bit: "1" +7547-7596 rgb_led_ws281x: g: "00" +7596-7645 rgb_led_ws281x: r: "00" +7645-7695 rgb_led_ws281x: b: "ef" 7547-7695 rgb_led_ws281x: rgb: "#0000ef" 7695-7701 rgb_led_ws281x: bit: "0" 7701-7707 rgb_led_ws281x: bit: "0" @@ -472,6 +526,9 @@ 7824-7830 rgb_led_ws281x: bit: "1" 7830-7836 rgb_led_ws281x: bit: "1" 7836-7843 rgb_led_ws281x: bit: "1" +7695-7744 rgb_led_ws281x: g: "00" +7744-7793 rgb_led_ws281x: r: "00" +7793-7843 rgb_led_ws281x: b: "df" 7695-7843 rgb_led_ws281x: rgb: "#0000df" 7843-7849 rgb_led_ws281x: bit: "0" 7849-7855 rgb_led_ws281x: bit: "0" @@ -497,6 +554,9 @@ 7972-7978 rgb_led_ws281x: bit: "1" 7978-7984 rgb_led_ws281x: bit: "1" 7984-7991 rgb_led_ws281x: bit: "1" +7843-7892 rgb_led_ws281x: g: "00" +7892-7941 rgb_led_ws281x: r: "00" +7941-7991 rgb_led_ws281x: b: "bf" 7843-7991 rgb_led_ws281x: rgb: "#0000bf" 7991-7997 rgb_led_ws281x: bit: "0" 7997-8003 rgb_led_ws281x: bit: "0" @@ -522,6 +582,9 @@ 8120-8126 rgb_led_ws281x: bit: "1" 8126-8133 rgb_led_ws281x: bit: "1" 8133-8139 rgb_led_ws281x: bit: "0" +7991-8040 rgb_led_ws281x: g: "00" +8040-8090 rgb_led_ws281x: r: "00" +8090-8139 rgb_led_ws281x: b: "7e" 7991-8139 rgb_led_ws281x: rgb: "#00007e" 8139-8145 rgb_led_ws281x: bit: "0" 8145-8151 rgb_led_ws281x: bit: "0" @@ -547,6 +610,9 @@ 8268-8275 rgb_led_ws281x: bit: "1" 8275-8281 rgb_led_ws281x: bit: "0" 8281-8287 rgb_led_ws281x: bit: "1" +8139-8188 rgb_led_ws281x: g: "00" +8188-8238 rgb_led_ws281x: r: "00" +8238-8287 rgb_led_ws281x: b: "fd" 8139-8287 rgb_led_ws281x: rgb: "#0000fd" 8287-8293 rgb_led_ws281x: bit: "0" 8293-8300 rgb_led_ws281x: bit: "0" @@ -572,6 +638,9 @@ 8417-8423 rgb_led_ws281x: bit: "0" 8423-8429 rgb_led_ws281x: bit: "1" 8429-8435 rgb_led_ws281x: bit: "1" +8287-8337 rgb_led_ws281x: g: "00" +8337-8386 rgb_led_ws281x: r: "00" +8386-8435 rgb_led_ws281x: b: "fb" 8287-8435 rgb_led_ws281x: rgb: "#0000fb" 8435-8442 rgb_led_ws281x: bit: "0" 8442-8448 rgb_led_ws281x: bit: "0" @@ -597,6 +666,9 @@ 8565-8571 rgb_led_ws281x: bit: "1" 8571-8577 rgb_led_ws281x: bit: "1" 8577-8584 rgb_led_ws281x: bit: "1" +8435-8485 rgb_led_ws281x: g: "00" +8485-8534 rgb_led_ws281x: r: "00" +8534-8584 rgb_led_ws281x: b: "f7" 8435-8584 rgb_led_ws281x: rgb: "#0000f7" 8584-8590 rgb_led_ws281x: bit: "0" 8590-8596 rgb_led_ws281x: bit: "0" @@ -622,6 +694,9 @@ 8713-8719 rgb_led_ws281x: bit: "1" 8719-8725 rgb_led_ws281x: bit: "1" 8725-8732 rgb_led_ws281x: bit: "1" +8584-8633 rgb_led_ws281x: g: "00" +8633-8682 rgb_led_ws281x: r: "00" +8682-8732 rgb_led_ws281x: b: "f7" 8584-8732 rgb_led_ws281x: rgb: "#0000f7" 8732-8738 rgb_led_ws281x: bit: "0" 8738-8744 rgb_led_ws281x: bit: "0" @@ -647,6 +722,9 @@ 8861-8867 rgb_led_ws281x: bit: "1" 8867-8873 rgb_led_ws281x: bit: "1" 8873-8880 rgb_led_ws281x: bit: "1" +8732-8781 rgb_led_ws281x: g: "00" +8781-8830 rgb_led_ws281x: r: "00" +8830-8880 rgb_led_ws281x: b: "ef" 8732-8880 rgb_led_ws281x: rgb: "#0000ef" 8880-8886 rgb_led_ws281x: bit: "0" 8886-8892 rgb_led_ws281x: bit: "0" @@ -672,6 +750,9 @@ 9009-9015 rgb_led_ws281x: bit: "1" 9015-9021 rgb_led_ws281x: bit: "1" 9021-9028 rgb_led_ws281x: bit: "1" +8880-8929 rgb_led_ws281x: g: "00" +8929-8978 rgb_led_ws281x: r: "00" +8978-9028 rgb_led_ws281x: b: "df" 8880-9028 rgb_led_ws281x: rgb: "#0000df" 9028-9034 rgb_led_ws281x: bit: "0" 9034-9040 rgb_led_ws281x: bit: "0" @@ -697,6 +778,9 @@ 9157-9163 rgb_led_ws281x: bit: "1" 9163-9169 rgb_led_ws281x: bit: "1" 9169-9176 rgb_led_ws281x: bit: "1" +9028-9077 rgb_led_ws281x: g: "00" +9077-9126 rgb_led_ws281x: r: "00" +9126-9176 rgb_led_ws281x: b: "bf" 9028-9176 rgb_led_ws281x: rgb: "#0000bf" 9176-9182 rgb_led_ws281x: bit: "0" 9182-9188 rgb_led_ws281x: bit: "0" @@ -722,6 +806,9 @@ 9305-9311 rgb_led_ws281x: bit: "1" 9311-9318 rgb_led_ws281x: bit: "1" 9318-9324 rgb_led_ws281x: bit: "0" +9176-9225 rgb_led_ws281x: g: "00" +9225-9275 rgb_led_ws281x: r: "00" +9275-9324 rgb_led_ws281x: b: "7e" 9176-9324 rgb_led_ws281x: rgb: "#00007e" 9324-9330 rgb_led_ws281x: bit: "0" 9330-9337 rgb_led_ws281x: bit: "0" @@ -747,6 +834,9 @@ 9453-9460 rgb_led_ws281x: bit: "1" 9460-9466 rgb_led_ws281x: bit: "0" 9466-9472 rgb_led_ws281x: bit: "1" +9324-9373 rgb_led_ws281x: g: "00" +9373-9423 rgb_led_ws281x: r: "00" +9423-9472 rgb_led_ws281x: b: "fd" 9324-9472 rgb_led_ws281x: rgb: "#0000fd" 9472-9478 rgb_led_ws281x: bit: "0" 9478-9485 rgb_led_ws281x: bit: "0" @@ -772,6 +862,9 @@ 9602-9608 rgb_led_ws281x: bit: "0" 9608-9614 rgb_led_ws281x: bit: "1" 9614-9620 rgb_led_ws281x: bit: "1" +9472-9522 rgb_led_ws281x: g: "00" +9522-9571 rgb_led_ws281x: r: "00" +9571-9620 rgb_led_ws281x: b: "fb" 9472-9620 rgb_led_ws281x: rgb: "#0000fb" 9620-9627 rgb_led_ws281x: bit: "0" 9627-9633 rgb_led_ws281x: bit: "0" @@ -797,6 +890,9 @@ 9750-9756 rgb_led_ws281x: bit: "1" 9756-9762 rgb_led_ws281x: bit: "1" 9762-9769 rgb_led_ws281x: bit: "1" +9620-9670 rgb_led_ws281x: g: "00" +9670-9719 rgb_led_ws281x: r: "00" +9719-9769 rgb_led_ws281x: b: "f7" 9620-9769 rgb_led_ws281x: rgb: "#0000f7" 9769-9775 rgb_led_ws281x: bit: "0" 9775-9781 rgb_led_ws281x: bit: "0" @@ -822,6 +918,9 @@ 9898-9904 rgb_led_ws281x: bit: "1" 9904-9910 rgb_led_ws281x: bit: "1" 9910-9917 rgb_led_ws281x: bit: "1" +9769-9818 rgb_led_ws281x: g: "00" +9818-9867 rgb_led_ws281x: r: "00" +9867-9917 rgb_led_ws281x: b: "ff" 9769-9917 rgb_led_ws281x: rgb: "#0000ff" 9917-9923 rgb_led_ws281x: bit: "0" 9923-9929 rgb_led_ws281x: bit: "0" @@ -847,6 +946,9 @@ 10046-10052 rgb_led_ws281x: bit: "1" 10052-10058 rgb_led_ws281x: bit: "1" 10058-10065 rgb_led_ws281x: bit: "1" +9917-9966 rgb_led_ws281x: g: "00" +9966-10015 rgb_led_ws281x: r: "00" +10015-10065 rgb_led_ws281x: b: "ef" 9917-10065 rgb_led_ws281x: rgb: "#0000ef" 10065-10071 rgb_led_ws281x: bit: "0" 10071-10077 rgb_led_ws281x: bit: "0" @@ -872,6 +974,9 @@ 10194-10200 rgb_led_ws281x: bit: "1" 10200-10206 rgb_led_ws281x: bit: "1" 10206-10213 rgb_led_ws281x: bit: "1" +10065-10114 rgb_led_ws281x: g: "00" +10114-10163 rgb_led_ws281x: r: "00" +10163-10213 rgb_led_ws281x: b: "df" 10065-10213 rgb_led_ws281x: rgb: "#0000df" 10213-10219 rgb_led_ws281x: bit: "0" 10219-10225 rgb_led_ws281x: bit: "0" @@ -897,6 +1002,9 @@ 10342-10348 rgb_led_ws281x: bit: "1" 10348-10354 rgb_led_ws281x: bit: "1" 10354-10361 rgb_led_ws281x: bit: "1" +10213-10262 rgb_led_ws281x: g: "00" +10262-10311 rgb_led_ws281x: r: "00" +10311-10361 rgb_led_ws281x: b: "bf" 10213-10361 rgb_led_ws281x: rgb: "#0000bf" 10361-10367 rgb_led_ws281x: bit: "0" 10367-10373 rgb_led_ws281x: bit: "0" @@ -922,6 +1030,9 @@ 10490-10496 rgb_led_ws281x: bit: "1" 10496-10503 rgb_led_ws281x: bit: "1" 10503-10509 rgb_led_ws281x: bit: "0" +10361-10410 rgb_led_ws281x: g: "00" +10410-10460 rgb_led_ws281x: r: "00" +10460-10509 rgb_led_ws281x: b: "7e" 10361-10509 rgb_led_ws281x: rgb: "#00007e" 10509-10515 rgb_led_ws281x: bit: "0" 10515-10522 rgb_led_ws281x: bit: "0" @@ -947,6 +1058,9 @@ 10638-10645 rgb_led_ws281x: bit: "1" 10645-10651 rgb_led_ws281x: bit: "0" 10651-10657 rgb_led_ws281x: bit: "1" +10509-10558 rgb_led_ws281x: g: "00" +10558-10608 rgb_led_ws281x: r: "00" +10608-10657 rgb_led_ws281x: b: "fd" 10509-10657 rgb_led_ws281x: rgb: "#0000fd" 10657-10664 rgb_led_ws281x: bit: "0" 10664-10670 rgb_led_ws281x: bit: "0" @@ -972,6 +1086,9 @@ 10787-10793 rgb_led_ws281x: bit: "0" 10793-10799 rgb_led_ws281x: bit: "1" 10799-10805 rgb_led_ws281x: bit: "1" +10657-10707 rgb_led_ws281x: g: "00" +10707-10756 rgb_led_ws281x: r: "00" +10756-10805 rgb_led_ws281x: b: "fb" 10657-10805 rgb_led_ws281x: rgb: "#0000fb" 10805-10812 rgb_led_ws281x: bit: "0" 10812-10818 rgb_led_ws281x: bit: "0" @@ -997,6 +1114,9 @@ 10935-10941 rgb_led_ws281x: bit: "1" 10941-10947 rgb_led_ws281x: bit: "1" 10947-10954 rgb_led_ws281x: bit: "1" +10805-10855 rgb_led_ws281x: g: "00" +10855-10904 rgb_led_ws281x: r: "00" +10904-10954 rgb_led_ws281x: b: "f7" 10805-10954 rgb_led_ws281x: rgb: "#0000f7" 10954-10960 rgb_led_ws281x: bit: "0" 10960-10966 rgb_led_ws281x: bit: "0" @@ -1022,6 +1142,9 @@ 11083-11089 rgb_led_ws281x: bit: "1" 11089-11095 rgb_led_ws281x: bit: "1" 11095-11102 rgb_led_ws281x: bit: "1" +10954-11003 rgb_led_ws281x: g: "00" +11003-11052 rgb_led_ws281x: r: "00" +11052-11102 rgb_led_ws281x: b: "ef" 10954-11102 rgb_led_ws281x: rgb: "#0000ef" 11102-11108 rgb_led_ws281x: bit: "0" 11108-11114 rgb_led_ws281x: bit: "0" @@ -1047,6 +1170,9 @@ 11231-11237 rgb_led_ws281x: bit: "1" 11237-11243 rgb_led_ws281x: bit: "1" 11243-11250 rgb_led_ws281x: bit: "1" +11102-11151 rgb_led_ws281x: g: "00" +11151-11200 rgb_led_ws281x: r: "00" +11200-11250 rgb_led_ws281x: b: "ef" 11102-11250 rgb_led_ws281x: rgb: "#0000ef" 11250-11256 rgb_led_ws281x: bit: "0" 11256-11262 rgb_led_ws281x: bit: "0" @@ -1072,6 +1198,9 @@ 11379-11385 rgb_led_ws281x: bit: "1" 11385-11391 rgb_led_ws281x: bit: "1" 11391-11398 rgb_led_ws281x: bit: "1" +11250-11299 rgb_led_ws281x: g: "00" +11299-11348 rgb_led_ws281x: r: "00" +11348-11398 rgb_led_ws281x: b: "df" 11250-11398 rgb_led_ws281x: rgb: "#0000df" 11398-11404 rgb_led_ws281x: bit: "0" 11404-11410 rgb_led_ws281x: bit: "0" @@ -1097,6 +1226,9 @@ 11527-11533 rgb_led_ws281x: bit: "1" 11533-11540 rgb_led_ws281x: bit: "1" 11540-11546 rgb_led_ws281x: bit: "0" +11398-11447 rgb_led_ws281x: g: "00" +11447-11496 rgb_led_ws281x: r: "00" +11496-11546 rgb_led_ws281x: b: "be" 11398-11546 rgb_led_ws281x: rgb: "#0000be" 11546-11552 rgb_led_ws281x: bit: "0" 11552-11558 rgb_led_ws281x: bit: "0" @@ -1122,6 +1254,9 @@ 11675-11681 rgb_led_ws281x: bit: "1" 11681-11688 rgb_led_ws281x: bit: "1" 11688-11694 rgb_led_ws281x: bit: "1" +11546-11595 rgb_led_ws281x: g: "00" +11595-11645 rgb_led_ws281x: r: "00" +11645-11694 rgb_led_ws281x: b: "7f" 11546-11694 rgb_led_ws281x: rgb: "#00007f" 11694-11700 rgb_led_ws281x: bit: "0" 11700-11707 rgb_led_ws281x: bit: "0" @@ -1147,6 +1282,9 @@ 11823-11830 rgb_led_ws281x: bit: "1" 11830-11836 rgb_led_ws281x: bit: "0" 11836-11842 rgb_led_ws281x: bit: "1" +11694-11743 rgb_led_ws281x: g: "00" +11743-11793 rgb_led_ws281x: r: "00" +11793-11842 rgb_led_ws281x: b: "fd" 11694-11842 rgb_led_ws281x: rgb: "#0000fd" 11842-11849 rgb_led_ws281x: bit: "0" 11849-11855 rgb_led_ws281x: bit: "0" @@ -1172,6 +1310,9 @@ 11972-11978 rgb_led_ws281x: bit: "0" 11978-11984 rgb_led_ws281x: bit: "1" 11984-11990 rgb_led_ws281x: bit: "1" +11842-11892 rgb_led_ws281x: g: "00" +11892-11941 rgb_led_ws281x: r: "00" +11941-11990 rgb_led_ws281x: b: "fb" 11842-11990 rgb_led_ws281x: rgb: "#0000fb" 11990-11997 rgb_led_ws281x: bit: "0" 11997-12003 rgb_led_ws281x: bit: "0" @@ -1197,6 +1338,9 @@ 12120-12126 rgb_led_ws281x: bit: "1" 12126-12132 rgb_led_ws281x: bit: "1" 12132-12139 rgb_led_ws281x: bit: "1" +11990-12040 rgb_led_ws281x: g: "00" +12040-12089 rgb_led_ws281x: r: "00" +12089-12139 rgb_led_ws281x: b: "f7" 11990-12139 rgb_led_ws281x: rgb: "#0000f7" 12139-12145 rgb_led_ws281x: bit: "0" 12145-12151 rgb_led_ws281x: bit: "0" @@ -1222,6 +1366,9 @@ 12268-12274 rgb_led_ws281x: bit: "1" 12274-12280 rgb_led_ws281x: bit: "1" 12280-12287 rgb_led_ws281x: bit: "1" +12139-12188 rgb_led_ws281x: g: "00" +12188-12237 rgb_led_ws281x: r: "00" +12237-12287 rgb_led_ws281x: b: "ef" 12139-12287 rgb_led_ws281x: rgb: "#0000ef" 12287-12293 rgb_led_ws281x: bit: "0" 12293-12299 rgb_led_ws281x: bit: "0" @@ -1247,6 +1394,9 @@ 12416-12422 rgb_led_ws281x: bit: "1" 12422-12428 rgb_led_ws281x: bit: "1" 12428-12435 rgb_led_ws281x: bit: "1" +12287-12336 rgb_led_ws281x: g: "00" +12336-12385 rgb_led_ws281x: r: "00" +12385-12435 rgb_led_ws281x: b: "ff" 12287-12435 rgb_led_ws281x: rgb: "#0000ff" 12435-12441 rgb_led_ws281x: bit: "0" 12441-12447 rgb_led_ws281x: bit: "0" @@ -1272,6 +1422,9 @@ 12564-12570 rgb_led_ws281x: bit: "1" 12570-12576 rgb_led_ws281x: bit: "1" 12576-12583 rgb_led_ws281x: bit: "1" +12435-12484 rgb_led_ws281x: g: "00" +12484-12533 rgb_led_ws281x: r: "00" +12533-12583 rgb_led_ws281x: b: "df" 12435-12583 rgb_led_ws281x: rgb: "#0000df" 12583-12589 rgb_led_ws281x: bit: "0" 12589-12595 rgb_led_ws281x: bit: "0" @@ -1297,6 +1450,9 @@ 12712-12718 rgb_led_ws281x: bit: "1" 12718-12725 rgb_led_ws281x: bit: "1" 12725-12731 rgb_led_ws281x: bit: "0" +12583-12632 rgb_led_ws281x: g: "00" +12632-12681 rgb_led_ws281x: r: "00" +12681-12731 rgb_led_ws281x: b: "be" 12583-12731 rgb_led_ws281x: rgb: "#0000be" 12731-12737 rgb_led_ws281x: bit: "0" 12737-12743 rgb_led_ws281x: bit: "0" @@ -1322,6 +1478,9 @@ 12860-12866 rgb_led_ws281x: bit: "1" 12866-12873 rgb_led_ws281x: bit: "1" 12873-12879 rgb_led_ws281x: bit: "1" +12731-12780 rgb_led_ws281x: g: "00" +12780-12830 rgb_led_ws281x: r: "00" +12830-12879 rgb_led_ws281x: b: "7f" 12731-12879 rgb_led_ws281x: rgb: "#00007f" 12879-12885 rgb_led_ws281x: bit: "0" 12885-12892 rgb_led_ws281x: bit: "0" @@ -1347,6 +1506,9 @@ 13008-13015 rgb_led_ws281x: bit: "1" 13015-13021 rgb_led_ws281x: bit: "1" 13021-13027 rgb_led_ws281x: bit: "1" +12879-12928 rgb_led_ws281x: g: "00" +12928-12978 rgb_led_ws281x: r: "00" +12978-13027 rgb_led_ws281x: b: "ff" 12879-13027 rgb_led_ws281x: rgb: "#0000ff" 13027-13034 rgb_led_ws281x: bit: "0" 13034-13040 rgb_led_ws281x: bit: "0" @@ -1372,6 +1534,9 @@ 13157-13163 rgb_led_ws281x: bit: "0" 13163-13169 rgb_led_ws281x: bit: "1" 13169-13176 rgb_led_ws281x: bit: "1" +13027-13077 rgb_led_ws281x: g: "00" +13077-13126 rgb_led_ws281x: r: "00" +13126-13176 rgb_led_ws281x: b: "fb" 13027-13176 rgb_led_ws281x: rgb: "#0000fb" 13176-13182 rgb_led_ws281x: bit: "0" 13182-13188 rgb_led_ws281x: bit: "0" @@ -1397,6 +1562,9 @@ 13305-13311 rgb_led_ws281x: bit: "1" 13311-13317 rgb_led_ws281x: bit: "1" 13317-13324 rgb_led_ws281x: bit: "1" +13176-13225 rgb_led_ws281x: g: "00" +13225-13274 rgb_led_ws281x: r: "00" +13274-13324 rgb_led_ws281x: b: "f7" 13176-13324 rgb_led_ws281x: rgb: "#0000f7" 13324-13330 rgb_led_ws281x: bit: "0" 13330-13336 rgb_led_ws281x: bit: "0" @@ -1422,6 +1590,9 @@ 13453-13459 rgb_led_ws281x: bit: "1" 13459-13465 rgb_led_ws281x: bit: "1" 13465-13472 rgb_led_ws281x: bit: "1" +13324-13373 rgb_led_ws281x: g: "00" +13373-13422 rgb_led_ws281x: r: "00" +13422-13472 rgb_led_ws281x: b: "ef" 13324-13472 rgb_led_ws281x: rgb: "#0000ef" 13472-13478 rgb_led_ws281x: bit: "0" 13478-13484 rgb_led_ws281x: bit: "0" @@ -1447,6 +1618,9 @@ 13601-13607 rgb_led_ws281x: bit: "1" 13607-13613 rgb_led_ws281x: bit: "1" 13613-13620 rgb_led_ws281x: bit: "1" +13472-13521 rgb_led_ws281x: g: "00" +13521-13570 rgb_led_ws281x: r: "00" +13570-13620 rgb_led_ws281x: b: "ff" 13472-13620 rgb_led_ws281x: rgb: "#0000ff" 13620-13626 rgb_led_ws281x: bit: "0" 13626-13632 rgb_led_ws281x: bit: "0" @@ -1472,6 +1646,9 @@ 13749-13755 rgb_led_ws281x: bit: "1" 13755-13761 rgb_led_ws281x: bit: "1" 13761-13768 rgb_led_ws281x: bit: "1" +13620-13669 rgb_led_ws281x: g: "00" +13669-13718 rgb_led_ws281x: r: "00" +13718-13768 rgb_led_ws281x: b: "ff" 13620-13768 rgb_led_ws281x: rgb: "#0000ff" 13768-13774 rgb_led_ws281x: bit: "0" 13774-13780 rgb_led_ws281x: bit: "0" @@ -1497,6 +1674,9 @@ 13897-13903 rgb_led_ws281x: bit: "1" 13903-13910 rgb_led_ws281x: bit: "1" 13910-13916 rgb_led_ws281x: bit: "0" +13768-13817 rgb_led_ws281x: g: "00" +13817-13866 rgb_led_ws281x: r: "00" +13866-13916 rgb_led_ws281x: b: "be" 13768-13916 rgb_led_ws281x: rgb: "#0000be" 13916-13922 rgb_led_ws281x: bit: "0" 13922-13928 rgb_led_ws281x: bit: "0" @@ -1522,6 +1702,9 @@ 14045-14052 rgb_led_ws281x: bit: "1" 14052-14058 rgb_led_ws281x: bit: "0" 14058-14064 rgb_led_ws281x: bit: "1" +13916-13965 rgb_led_ws281x: g: "00" +13965-14015 rgb_led_ws281x: r: "00" +14015-14064 rgb_led_ws281x: b: "7d" 13916-14064 rgb_led_ws281x: rgb: "#00007d" 14064-14070 rgb_led_ws281x: bit: "0" 14070-14077 rgb_led_ws281x: bit: "0" @@ -1547,6 +1730,9 @@ 14193-14200 rgb_led_ws281x: bit: "1" 14200-14206 rgb_led_ws281x: bit: "1" 14206-14212 rgb_led_ws281x: bit: "1" +14064-14114 rgb_led_ws281x: g: "00" +14114-14163 rgb_led_ws281x: r: "00" +14163-14212 rgb_led_ws281x: b: "ff" 14064-14212 rgb_led_ws281x: rgb: "#0000ff" 14212-14219 rgb_led_ws281x: bit: "0" 14219-14225 rgb_led_ws281x: bit: "0" @@ -1572,6 +1758,9 @@ 14342-14348 rgb_led_ws281x: bit: "0" 14348-14354 rgb_led_ws281x: bit: "1" 14354-14361 rgb_led_ws281x: bit: "1" +14212-14262 rgb_led_ws281x: g: "00" +14262-14311 rgb_led_ws281x: r: "00" +14311-14361 rgb_led_ws281x: b: "fb" 14212-14361 rgb_led_ws281x: rgb: "#0000fb" 14361-14367 rgb_led_ws281x: bit: "0" 14367-14373 rgb_led_ws281x: bit: "0" @@ -1597,6 +1786,9 @@ 14490-14496 rgb_led_ws281x: bit: "1" 14496-14502 rgb_led_ws281x: bit: "1" 14502-14509 rgb_led_ws281x: bit: "1" +14361-14410 rgb_led_ws281x: g: "00" +14410-14459 rgb_led_ws281x: r: "00" +14459-14509 rgb_led_ws281x: b: "f7" 14361-14509 rgb_led_ws281x: rgb: "#0000f7" 14509-14515 rgb_led_ws281x: bit: "0" 14515-14521 rgb_led_ws281x: bit: "0" @@ -1622,6 +1814,9 @@ 14638-14644 rgb_led_ws281x: bit: "1" 14644-14650 rgb_led_ws281x: bit: "1" 14650-14657 rgb_led_ws281x: bit: "1" +14509-14558 rgb_led_ws281x: g: "00" +14558-14607 rgb_led_ws281x: r: "00" +14607-14657 rgb_led_ws281x: b: "ef" 14509-14657 rgb_led_ws281x: rgb: "#0000ef" 14657-14663 rgb_led_ws281x: bit: "0" 14663-14669 rgb_led_ws281x: bit: "0" @@ -1647,6 +1842,9 @@ 14786-14792 rgb_led_ws281x: bit: "1" 14792-14798 rgb_led_ws281x: bit: "1" 14798-14805 rgb_led_ws281x: bit: "1" +14657-14706 rgb_led_ws281x: g: "00" +14706-14755 rgb_led_ws281x: r: "00" +14755-14805 rgb_led_ws281x: b: "df" 14657-14805 rgb_led_ws281x: rgb: "#0000df" 14805-14811 rgb_led_ws281x: bit: "0" 14811-14817 rgb_led_ws281x: bit: "0" @@ -1672,6 +1870,9 @@ 14934-14940 rgb_led_ws281x: bit: "1" 14940-14946 rgb_led_ws281x: bit: "1" 14946-14953 rgb_led_ws281x: bit: "1" +14805-14854 rgb_led_ws281x: g: "00" +14854-14903 rgb_led_ws281x: r: "00" +14903-14953 rgb_led_ws281x: b: "ff" 14805-14953 rgb_led_ws281x: rgb: "#0000ff" 14953-14959 rgb_led_ws281x: bit: "0" 14959-14965 rgb_led_ws281x: bit: "0" @@ -1697,6 +1898,9 @@ 15082-15088 rgb_led_ws281x: bit: "1" 15088-15095 rgb_led_ws281x: bit: "1" 15095-15101 rgb_led_ws281x: bit: "0" +14953-15002 rgb_led_ws281x: g: "00" +15002-15051 rgb_led_ws281x: r: "00" +15051-15101 rgb_led_ws281x: b: "be" 14953-15101 rgb_led_ws281x: rgb: "#0000be" 15101-15107 rgb_led_ws281x: bit: "0" 15107-15113 rgb_led_ws281x: bit: "0" @@ -1722,6 +1926,9 @@ 15230-15237 rgb_led_ws281x: bit: "1" 15237-15243 rgb_led_ws281x: bit: "0" 15243-15249 rgb_led_ws281x: bit: "1" +15101-15150 rgb_led_ws281x: g: "00" +15150-15200 rgb_led_ws281x: r: "00" +15200-15249 rgb_led_ws281x: b: "7d" 15101-15249 rgb_led_ws281x: rgb: "#00007d" 15249-15255 rgb_led_ws281x: bit: "0" 15255-15262 rgb_led_ws281x: bit: "0" @@ -1747,6 +1954,9 @@ 15378-15385 rgb_led_ws281x: bit: "1" 15385-15391 rgb_led_ws281x: bit: "1" 15391-15397 rgb_led_ws281x: bit: "1" +15249-15299 rgb_led_ws281x: g: "00" +15299-15348 rgb_led_ws281x: r: "00" +15348-15397 rgb_led_ws281x: b: "ff" 15249-15397 rgb_led_ws281x: rgb: "#0000ff" 15397-15404 rgb_led_ws281x: bit: "0" 15404-15410 rgb_led_ws281x: bit: "0" @@ -1772,6 +1982,9 @@ 15527-15533 rgb_led_ws281x: bit: "1" 15533-15539 rgb_led_ws281x: bit: "1" 15539-15546 rgb_led_ws281x: bit: "1" +15397-15447 rgb_led_ws281x: g: "00" +15447-15496 rgb_led_ws281x: r: "00" +15496-15546 rgb_led_ws281x: b: "ff" 15397-15546 rgb_led_ws281x: rgb: "#0000ff" 15546-15552 rgb_led_ws281x: bit: "0" 15552-15558 rgb_led_ws281x: bit: "0" @@ -1797,6 +2010,9 @@ 15675-15681 rgb_led_ws281x: bit: "1" 15681-15687 rgb_led_ws281x: bit: "1" 15687-15694 rgb_led_ws281x: bit: "1" +15546-15595 rgb_led_ws281x: g: "00" +15595-15644 rgb_led_ws281x: r: "00" +15644-15694 rgb_led_ws281x: b: "f7" 15546-15694 rgb_led_ws281x: rgb: "#0000f7" 15694-15700 rgb_led_ws281x: bit: "0" 15700-15706 rgb_led_ws281x: bit: "0" @@ -1822,6 +2038,9 @@ 15823-15829 rgb_led_ws281x: bit: "1" 15829-15835 rgb_led_ws281x: bit: "1" 15835-15842 rgb_led_ws281x: bit: "1" +15694-15743 rgb_led_ws281x: g: "00" +15743-15792 rgb_led_ws281x: r: "00" +15792-15842 rgb_led_ws281x: b: "ef" 15694-15842 rgb_led_ws281x: rgb: "#0000ef" 15842-15848 rgb_led_ws281x: bit: "0" 15848-15854 rgb_led_ws281x: bit: "0" @@ -1847,6 +2066,9 @@ 15971-15977 rgb_led_ws281x: bit: "1" 15977-15983 rgb_led_ws281x: bit: "1" 15983-15990 rgb_led_ws281x: bit: "1" +15842-15891 rgb_led_ws281x: g: "00" +15891-15940 rgb_led_ws281x: r: "00" +15940-15990 rgb_led_ws281x: b: "df" 15842-15990 rgb_led_ws281x: rgb: "#0000df" 15990-15996 rgb_led_ws281x: bit: "0" 15996-16002 rgb_led_ws281x: bit: "0" @@ -1872,6 +2094,9 @@ 16119-16125 rgb_led_ws281x: bit: "1" 16125-16131 rgb_led_ws281x: bit: "1" 16131-16138 rgb_led_ws281x: bit: "1" +15990-16039 rgb_led_ws281x: g: "00" +16039-16088 rgb_led_ws281x: r: "00" +16088-16138 rgb_led_ws281x: b: "bf" 15990-16138 rgb_led_ws281x: rgb: "#0000bf" 16138-16144 rgb_led_ws281x: bit: "0" 16144-16150 rgb_led_ws281x: bit: "0" @@ -1897,6 +2122,9 @@ 16267-16273 rgb_led_ws281x: bit: "1" 16273-16280 rgb_led_ws281x: bit: "1" 16280-16286 rgb_led_ws281x: bit: "0" +16138-16187 rgb_led_ws281x: g: "00" +16187-16237 rgb_led_ws281x: r: "00" +16237-16286 rgb_led_ws281x: b: "7e" 16138-16286 rgb_led_ws281x: rgb: "#00007e" 16286-16292 rgb_led_ws281x: bit: "0" 16292-16298 rgb_led_ws281x: bit: "0" @@ -1922,6 +2150,9 @@ 16415-16422 rgb_led_ws281x: bit: "1" 16422-16428 rgb_led_ws281x: bit: "0" 16428-16434 rgb_led_ws281x: bit: "1" +16286-16335 rgb_led_ws281x: g: "00" +16335-16385 rgb_led_ws281x: r: "00" +16385-16434 rgb_led_ws281x: b: "7d" 16286-16434 rgb_led_ws281x: rgb: "#00007d" 16434-16440 rgb_led_ws281x: bit: "0" 16440-16447 rgb_led_ws281x: bit: "0" @@ -1947,6 +2178,9 @@ 16563-16570 rgb_led_ws281x: bit: "1" 16570-16576 rgb_led_ws281x: bit: "1" 16576-16582 rgb_led_ws281x: bit: "1" +16434-16484 rgb_led_ws281x: g: "00" +16484-16533 rgb_led_ws281x: r: "00" +16533-16582 rgb_led_ws281x: b: "ff" 16434-16582 rgb_led_ws281x: rgb: "#0000ff" 16582-16589 rgb_led_ws281x: bit: "0" 16589-16595 rgb_led_ws281x: bit: "0" @@ -1972,6 +2206,9 @@ 16712-16718 rgb_led_ws281x: bit: "1" 16718-16724 rgb_led_ws281x: bit: "1" 16724-16731 rgb_led_ws281x: bit: "1" +16582-16632 rgb_led_ws281x: g: "00" +16632-16681 rgb_led_ws281x: r: "00" +16681-16731 rgb_led_ws281x: b: "ff" 16582-16731 rgb_led_ws281x: rgb: "#0000ff" 16731-16737 rgb_led_ws281x: bit: "0" 16737-16743 rgb_led_ws281x: bit: "0" @@ -1997,6 +2234,9 @@ 16860-16866 rgb_led_ws281x: bit: "1" 16866-16872 rgb_led_ws281x: bit: "1" 16872-16879 rgb_led_ws281x: bit: "1" +16731-16780 rgb_led_ws281x: g: "00" +16780-16829 rgb_led_ws281x: r: "00" +16829-16879 rgb_led_ws281x: b: "f7" 16731-16879 rgb_led_ws281x: rgb: "#0000f7" 16879-16885 rgb_led_ws281x: bit: "0" 16885-16891 rgb_led_ws281x: bit: "0" @@ -2022,6 +2262,9 @@ 17008-17014 rgb_led_ws281x: bit: "1" 17014-17020 rgb_led_ws281x: bit: "1" 17020-17027 rgb_led_ws281x: bit: "1" +16879-16928 rgb_led_ws281x: g: "00" +16928-16977 rgb_led_ws281x: r: "00" +16977-17027 rgb_led_ws281x: b: "ef" 16879-17027 rgb_led_ws281x: rgb: "#0000ef" 17027-17033 rgb_led_ws281x: bit: "0" 17033-17039 rgb_led_ws281x: bit: "0" @@ -2047,6 +2290,9 @@ 17156-17162 rgb_led_ws281x: bit: "1" 17162-17168 rgb_led_ws281x: bit: "1" 17168-17175 rgb_led_ws281x: bit: "1" +17027-17076 rgb_led_ws281x: g: "00" +17076-17125 rgb_led_ws281x: r: "00" +17125-17175 rgb_led_ws281x: b: "df" 17027-17175 rgb_led_ws281x: rgb: "#0000df" 17175-17181 rgb_led_ws281x: bit: "0" 17181-17187 rgb_led_ws281x: bit: "0" @@ -2072,6 +2318,9 @@ 17304-17310 rgb_led_ws281x: bit: "1" 17310-17316 rgb_led_ws281x: bit: "1" 17316-17323 rgb_led_ws281x: bit: "1" +17175-17224 rgb_led_ws281x: g: "00" +17224-17273 rgb_led_ws281x: r: "00" +17273-17323 rgb_led_ws281x: b: "bf" 17175-17323 rgb_led_ws281x: rgb: "#0000bf" 17323-17329 rgb_led_ws281x: bit: "0" 17329-17335 rgb_led_ws281x: bit: "0" @@ -2097,6 +2346,9 @@ 17452-17458 rgb_led_ws281x: bit: "1" 17458-17465 rgb_led_ws281x: bit: "1" 17465-17471 rgb_led_ws281x: bit: "0" +17323-17372 rgb_led_ws281x: g: "00" +17372-17422 rgb_led_ws281x: r: "00" +17422-17471 rgb_led_ws281x: b: "7e" 17323-17471 rgb_led_ws281x: rgb: "#00007e" 17471-17477 rgb_led_ws281x: bit: "0" 17477-17483 rgb_led_ws281x: bit: "0" @@ -2122,6 +2374,9 @@ 17600-17607 rgb_led_ws281x: bit: "1" 17607-17613 rgb_led_ws281x: bit: "0" 17613-17619 rgb_led_ws281x: bit: "1" +17471-17520 rgb_led_ws281x: g: "00" +17520-17570 rgb_led_ws281x: r: "00" +17570-17619 rgb_led_ws281x: b: "7d" 17471-17619 rgb_led_ws281x: rgb: "#00007d" 17619-17625 rgb_led_ws281x: bit: "0" 17625-17632 rgb_led_ws281x: bit: "0" @@ -2147,6 +2402,9 @@ 17749-17755 rgb_led_ws281x: bit: "0" 17755-17761 rgb_led_ws281x: bit: "1" 17761-17767 rgb_led_ws281x: bit: "1" +17619-17669 rgb_led_ws281x: g: "00" +17669-17718 rgb_led_ws281x: r: "00" +17718-17767 rgb_led_ws281x: b: "fb" 17619-17767 rgb_led_ws281x: rgb: "#0000fb" 17767-17774 rgb_led_ws281x: bit: "0" 17774-17780 rgb_led_ws281x: bit: "0" @@ -2172,6 +2430,9 @@ 17897-17903 rgb_led_ws281x: bit: "1" 17903-17909 rgb_led_ws281x: bit: "1" 17909-17916 rgb_led_ws281x: bit: "1" +17767-17817 rgb_led_ws281x: g: "00" +17817-17866 rgb_led_ws281x: r: "00" +17866-17916 rgb_led_ws281x: b: "ff" 17767-17916 rgb_led_ws281x: rgb: "#0000ff" 17916-17922 rgb_led_ws281x: bit: "0" 17922-17928 rgb_led_ws281x: bit: "0" @@ -2197,6 +2458,9 @@ 18045-18051 rgb_led_ws281x: bit: "1" 18051-18057 rgb_led_ws281x: bit: "1" 18057-18064 rgb_led_ws281x: bit: "1" +17916-17965 rgb_led_ws281x: g: "00" +17965-18014 rgb_led_ws281x: r: "00" +18014-18064 rgb_led_ws281x: b: "f7" 17916-18064 rgb_led_ws281x: rgb: "#0000f7" 18064-18070 rgb_led_ws281x: bit: "0" 18070-18076 rgb_led_ws281x: bit: "0" @@ -2222,6 +2486,9 @@ 18193-18199 rgb_led_ws281x: bit: "1" 18199-18205 rgb_led_ws281x: bit: "1" 18205-18212 rgb_led_ws281x: bit: "1" +18064-18113 rgb_led_ws281x: g: "00" +18113-18162 rgb_led_ws281x: r: "00" +18162-18212 rgb_led_ws281x: b: "ef" 18064-18212 rgb_led_ws281x: rgb: "#0000ef" 18212-18218 rgb_led_ws281x: bit: "0" 18218-18224 rgb_led_ws281x: bit: "0" @@ -2247,6 +2514,9 @@ 18341-18347 rgb_led_ws281x: bit: "1" 18347-18353 rgb_led_ws281x: bit: "1" 18353-18360 rgb_led_ws281x: bit: "1" +18212-18261 rgb_led_ws281x: g: "00" +18261-18310 rgb_led_ws281x: r: "00" +18310-18360 rgb_led_ws281x: b: "df" 18212-18360 rgb_led_ws281x: rgb: "#0000df" 18360-18366 rgb_led_ws281x: bit: "0" 18366-18372 rgb_led_ws281x: bit: "0" @@ -2272,6 +2542,9 @@ 18489-18495 rgb_led_ws281x: bit: "1" 18495-18501 rgb_led_ws281x: bit: "1" 18501-18508 rgb_led_ws281x: bit: "1" +18360-18409 rgb_led_ws281x: g: "00" +18409-18458 rgb_led_ws281x: r: "00" +18458-18508 rgb_led_ws281x: b: "bf" 18360-18508 rgb_led_ws281x: rgb: "#0000bf" 18508-18514 rgb_led_ws281x: bit: "0" 18514-18520 rgb_led_ws281x: bit: "0" @@ -2297,6 +2570,9 @@ 18637-18643 rgb_led_ws281x: bit: "1" 18643-18650 rgb_led_ws281x: bit: "1" 18650-18656 rgb_led_ws281x: bit: "0" +18508-18557 rgb_led_ws281x: g: "00" +18557-18607 rgb_led_ws281x: r: "00" +18607-18656 rgb_led_ws281x: b: "7e" 18508-18656 rgb_led_ws281x: rgb: "#00007e" 18656-18662 rgb_led_ws281x: bit: "0" 18662-18669 rgb_led_ws281x: bit: "0" @@ -2322,6 +2598,9 @@ 18785-18792 rgb_led_ws281x: bit: "1" 18792-18798 rgb_led_ws281x: bit: "0" 18798-18804 rgb_led_ws281x: bit: "1" +18656-18705 rgb_led_ws281x: g: "00" +18705-18755 rgb_led_ws281x: r: "00" +18755-18804 rgb_led_ws281x: b: "fd" 18656-18804 rgb_led_ws281x: rgb: "#0000fd" 18804-18810 rgb_led_ws281x: bit: "0" 18810-18817 rgb_led_ws281x: bit: "0" @@ -2347,6 +2626,9 @@ 18934-18940 rgb_led_ws281x: bit: "0" 18940-18946 rgb_led_ws281x: bit: "1" 18946-18952 rgb_led_ws281x: bit: "1" +18804-18854 rgb_led_ws281x: g: "00" +18854-18903 rgb_led_ws281x: r: "00" +18903-18952 rgb_led_ws281x: b: "fb" 18804-18952 rgb_led_ws281x: rgb: "#0000fb" 18952-18959 rgb_led_ws281x: bit: "0" 18959-18965 rgb_led_ws281x: bit: "0" @@ -2372,6 +2654,9 @@ 19082-19088 rgb_led_ws281x: bit: "1" 19088-19094 rgb_led_ws281x: bit: "1" 19094-19101 rgb_led_ws281x: bit: "1" +18952-19002 rgb_led_ws281x: g: "00" +19002-19051 rgb_led_ws281x: r: "00" +19051-19101 rgb_led_ws281x: b: "f7" 18952-19101 rgb_led_ws281x: rgb: "#0000f7" 19101-19107 rgb_led_ws281x: bit: "0" 19107-19113 rgb_led_ws281x: bit: "0" @@ -2397,6 +2682,9 @@ 19230-19236 rgb_led_ws281x: bit: "1" 19236-19242 rgb_led_ws281x: bit: "1" 19242-19249 rgb_led_ws281x: bit: "1" +19101-19150 rgb_led_ws281x: g: "00" +19150-19199 rgb_led_ws281x: r: "00" +19199-19249 rgb_led_ws281x: b: "ff" 19101-19249 rgb_led_ws281x: rgb: "#0000ff" 19249-19255 rgb_led_ws281x: bit: "0" 19255-19261 rgb_led_ws281x: bit: "0" @@ -2422,6 +2710,9 @@ 19378-19384 rgb_led_ws281x: bit: "1" 19384-19390 rgb_led_ws281x: bit: "1" 19390-19397 rgb_led_ws281x: bit: "1" +19249-19298 rgb_led_ws281x: g: "00" +19298-19347 rgb_led_ws281x: r: "00" +19347-19397 rgb_led_ws281x: b: "ef" 19249-19397 rgb_led_ws281x: rgb: "#0000ef" 19397-19403 rgb_led_ws281x: bit: "0" 19403-19409 rgb_led_ws281x: bit: "0" @@ -2447,6 +2738,9 @@ 19526-19532 rgb_led_ws281x: bit: "1" 19532-19538 rgb_led_ws281x: bit: "1" 19538-19545 rgb_led_ws281x: bit: "1" +19397-19446 rgb_led_ws281x: g: "00" +19446-19495 rgb_led_ws281x: r: "00" +19495-19545 rgb_led_ws281x: b: "df" 19397-19545 rgb_led_ws281x: rgb: "#0000df" 19545-19551 rgb_led_ws281x: bit: "0" 19551-19557 rgb_led_ws281x: bit: "0" @@ -2472,6 +2766,9 @@ 19674-19680 rgb_led_ws281x: bit: "1" 19680-19686 rgb_led_ws281x: bit: "1" 19686-19693 rgb_led_ws281x: bit: "1" +19545-19594 rgb_led_ws281x: g: "00" +19594-19643 rgb_led_ws281x: r: "00" +19643-19693 rgb_led_ws281x: b: "bf" 19545-19693 rgb_led_ws281x: rgb: "#0000bf" 19693-19699 rgb_led_ws281x: bit: "0" 19699-19705 rgb_led_ws281x: bit: "0" @@ -2497,6 +2794,9 @@ 19822-19828 rgb_led_ws281x: bit: "1" 19828-19835 rgb_led_ws281x: bit: "1" 19835-19841 rgb_led_ws281x: bit: "0" +19693-19742 rgb_led_ws281x: g: "00" +19742-19792 rgb_led_ws281x: r: "00" +19792-19841 rgb_led_ws281x: b: "7e" 19693-19841 rgb_led_ws281x: rgb: "#00007e" 19841-19847 rgb_led_ws281x: bit: "0" 19847-19854 rgb_led_ws281x: bit: "0" @@ -2522,6 +2822,9 @@ 19970-19977 rgb_led_ws281x: bit: "1" 19977-19983 rgb_led_ws281x: bit: "0" 19983-19989 rgb_led_ws281x: bit: "1" +19841-19890 rgb_led_ws281x: g: "00" +19890-19940 rgb_led_ws281x: r: "00" +19940-19989 rgb_led_ws281x: b: "fd" 19841-19989 rgb_led_ws281x: rgb: "#0000fd" 19989-19995 rgb_led_ws281x: bit: "0" 19995-20002 rgb_led_ws281x: bit: "0" @@ -2547,6 +2850,9 @@ 20119-20125 rgb_led_ws281x: bit: "0" 20125-20131 rgb_led_ws281x: bit: "1" 20131-20137 rgb_led_ws281x: bit: "1" +19989-20039 rgb_led_ws281x: g: "00" +20039-20088 rgb_led_ws281x: r: "00" +20088-20137 rgb_led_ws281x: b: "fb" 19989-20137 rgb_led_ws281x: rgb: "#0000fb" 20137-20144 rgb_led_ws281x: bit: "0" 20144-20150 rgb_led_ws281x: bit: "0" @@ -2572,6 +2878,9 @@ 20267-20273 rgb_led_ws281x: bit: "1" 20273-20279 rgb_led_ws281x: bit: "1" 20279-20286 rgb_led_ws281x: bit: "1" +20137-20187 rgb_led_ws281x: g: "00" +20187-20236 rgb_led_ws281x: r: "00" +20236-20286 rgb_led_ws281x: b: "f7" 20137-20286 rgb_led_ws281x: rgb: "#0000f7" 20286-20292 rgb_led_ws281x: bit: "0" 20292-20298 rgb_led_ws281x: bit: "0" @@ -2597,6 +2906,9 @@ 20415-20421 rgb_led_ws281x: bit: "1" 20421-20427 rgb_led_ws281x: bit: "1" 20427-20434 rgb_led_ws281x: bit: "1" +20286-20335 rgb_led_ws281x: g: "00" +20335-20384 rgb_led_ws281x: r: "00" +20384-20434 rgb_led_ws281x: b: "ef" 20286-20434 rgb_led_ws281x: rgb: "#0000ef" 20434-20440 rgb_led_ws281x: bit: "0" 20440-20446 rgb_led_ws281x: bit: "0" @@ -2622,6 +2934,9 @@ 20563-20569 rgb_led_ws281x: bit: "1" 20569-20575 rgb_led_ws281x: bit: "1" 20575-20582 rgb_led_ws281x: bit: "1" +20434-20483 rgb_led_ws281x: g: "00" +20483-20532 rgb_led_ws281x: r: "00" +20532-20582 rgb_led_ws281x: b: "ef" 20434-20582 rgb_led_ws281x: rgb: "#0000ef" 20582-20588 rgb_led_ws281x: bit: "0" 20588-20594 rgb_led_ws281x: bit: "0" @@ -2647,6 +2962,9 @@ 20711-20717 rgb_led_ws281x: bit: "1" 20717-20723 rgb_led_ws281x: bit: "1" 20723-20730 rgb_led_ws281x: bit: "1" +20582-20631 rgb_led_ws281x: g: "00" +20631-20680 rgb_led_ws281x: r: "00" +20680-20730 rgb_led_ws281x: b: "df" 20582-20730 rgb_led_ws281x: rgb: "#0000df" 20730-20736 rgb_led_ws281x: bit: "0" 20736-20742 rgb_led_ws281x: bit: "0" @@ -2672,6 +2990,9 @@ 20859-20865 rgb_led_ws281x: bit: "1" 20865-20872 rgb_led_ws281x: bit: "1" 20872-20878 rgb_led_ws281x: bit: "0" +20730-20779 rgb_led_ws281x: g: "00" +20779-20828 rgb_led_ws281x: r: "00" +20828-20878 rgb_led_ws281x: b: "be" 20730-20878 rgb_led_ws281x: rgb: "#0000be" 20878-20884 rgb_led_ws281x: bit: "0" 20884-20890 rgb_led_ws281x: bit: "0" @@ -2697,6 +3018,9 @@ 21007-21013 rgb_led_ws281x: bit: "1" 21013-21020 rgb_led_ws281x: bit: "1" 21020-21026 rgb_led_ws281x: bit: "0" +20878-20927 rgb_led_ws281x: g: "00" +20927-20977 rgb_led_ws281x: r: "00" +20977-21026 rgb_led_ws281x: b: "7e" 20878-21026 rgb_led_ws281x: rgb: "#00007e" 21026-21032 rgb_led_ws281x: bit: "0" 21032-21039 rgb_led_ws281x: bit: "0" @@ -2722,6 +3046,9 @@ 21155-21162 rgb_led_ws281x: bit: "1" 21162-21168 rgb_led_ws281x: bit: "0" 21168-21174 rgb_led_ws281x: bit: "1" +21026-21075 rgb_led_ws281x: g: "00" +21075-21125 rgb_led_ws281x: r: "00" +21125-21174 rgb_led_ws281x: b: "fd" 21026-21174 rgb_led_ws281x: rgb: "#0000fd" 21174-21181 rgb_led_ws281x: bit: "0" 21181-21187 rgb_led_ws281x: bit: "0" @@ -2747,6 +3074,9 @@ 21304-21310 rgb_led_ws281x: bit: "0" 21310-21316 rgb_led_ws281x: bit: "1" 21316-21322 rgb_led_ws281x: bit: "1" +21174-21224 rgb_led_ws281x: g: "00" +21224-21273 rgb_led_ws281x: r: "00" +21273-21322 rgb_led_ws281x: b: "fb" 21174-21322 rgb_led_ws281x: rgb: "#0000fb" 21322-21329 rgb_led_ws281x: bit: "0" 21329-21335 rgb_led_ws281x: bit: "0" @@ -2772,6 +3102,9 @@ 21452-21458 rgb_led_ws281x: bit: "1" 21458-21464 rgb_led_ws281x: bit: "1" 21464-21471 rgb_led_ws281x: bit: "1" +21322-21372 rgb_led_ws281x: g: "00" +21372-21421 rgb_led_ws281x: r: "00" +21421-21471 rgb_led_ws281x: b: "f7" 21322-21471 rgb_led_ws281x: rgb: "#0000f7" 21471-21477 rgb_led_ws281x: bit: "0" 21477-21483 rgb_led_ws281x: bit: "0" @@ -2797,6 +3130,9 @@ 21600-21606 rgb_led_ws281x: bit: "1" 21606-21612 rgb_led_ws281x: bit: "1" 21612-21619 rgb_led_ws281x: bit: "1" +21471-21520 rgb_led_ws281x: g: "00" +21520-21569 rgb_led_ws281x: r: "00" +21569-21619 rgb_led_ws281x: b: "ef" 21471-21619 rgb_led_ws281x: rgb: "#0000ef" 21619-21625 rgb_led_ws281x: bit: "0" 21625-21631 rgb_led_ws281x: bit: "0" @@ -2822,6 +3158,9 @@ 21748-21754 rgb_led_ws281x: bit: "1" 21754-21760 rgb_led_ws281x: bit: "1" 21760-21767 rgb_led_ws281x: bit: "1" +21619-21668 rgb_led_ws281x: g: "00" +21668-21717 rgb_led_ws281x: r: "00" +21717-21767 rgb_led_ws281x: b: "ef" 21619-21767 rgb_led_ws281x: rgb: "#0000ef" 21767-21773 rgb_led_ws281x: bit: "0" 21773-21779 rgb_led_ws281x: bit: "0" @@ -2847,6 +3186,9 @@ 21896-21902 rgb_led_ws281x: bit: "1" 21902-21908 rgb_led_ws281x: bit: "1" 21908-21915 rgb_led_ws281x: bit: "1" +21767-21816 rgb_led_ws281x: g: "00" +21816-21865 rgb_led_ws281x: r: "00" +21865-21915 rgb_led_ws281x: b: "df" 21767-21915 rgb_led_ws281x: rgb: "#0000df" 21915-21921 rgb_led_ws281x: bit: "0" 21921-21927 rgb_led_ws281x: bit: "0" @@ -2872,6 +3214,9 @@ 22044-22050 rgb_led_ws281x: bit: "1" 22050-22057 rgb_led_ws281x: bit: "1" 22057-22063 rgb_led_ws281x: bit: "0" +21915-21964 rgb_led_ws281x: g: "00" +21964-22013 rgb_led_ws281x: r: "00" +22013-22063 rgb_led_ws281x: b: "be" 21915-22063 rgb_led_ws281x: rgb: "#0000be" 22063-22069 rgb_led_ws281x: bit: "0" 22069-22075 rgb_led_ws281x: bit: "0" @@ -2897,6 +3242,9 @@ 22192-22198 rgb_led_ws281x: bit: "1" 22198-22205 rgb_led_ws281x: bit: "1" 22205-22211 rgb_led_ws281x: bit: "1" +22063-22112 rgb_led_ws281x: g: "00" +22112-22162 rgb_led_ws281x: r: "00" +22162-22211 rgb_led_ws281x: b: "7f" 22063-22211 rgb_led_ws281x: rgb: "#00007f" 22211-22217 rgb_led_ws281x: bit: "0" 22217-22224 rgb_led_ws281x: bit: "0" @@ -2922,6 +3270,9 @@ 22340-22347 rgb_led_ws281x: bit: "1" 22347-22353 rgb_led_ws281x: bit: "0" 22353-22359 rgb_led_ws281x: bit: "1" +22211-22260 rgb_led_ws281x: g: "00" +22260-22310 rgb_led_ws281x: r: "00" +22310-22359 rgb_led_ws281x: b: "fd" 22211-22359 rgb_led_ws281x: rgb: "#0000fd" 22359-22366 rgb_led_ws281x: bit: "0" 22366-22372 rgb_led_ws281x: bit: "0" @@ -2947,6 +3298,9 @@ 22489-22495 rgb_led_ws281x: bit: "0" 22495-22501 rgb_led_ws281x: bit: "1" 22501-22507 rgb_led_ws281x: bit: "1" +22359-22409 rgb_led_ws281x: g: "00" +22409-22458 rgb_led_ws281x: r: "00" +22458-22507 rgb_led_ws281x: b: "fb" 22359-22507 rgb_led_ws281x: rgb: "#0000fb" 22507-22514 rgb_led_ws281x: bit: "0" 22514-22520 rgb_led_ws281x: bit: "0" @@ -2972,6 +3326,9 @@ 22637-22643 rgb_led_ws281x: bit: "1" 22643-22649 rgb_led_ws281x: bit: "1" 22649-22656 rgb_led_ws281x: bit: "1" +22507-22557 rgb_led_ws281x: g: "00" +22557-22606 rgb_led_ws281x: r: "00" +22606-22656 rgb_led_ws281x: b: "f7" 22507-22656 rgb_led_ws281x: rgb: "#0000f7" 22656-22662 rgb_led_ws281x: bit: "0" 22662-22668 rgb_led_ws281x: bit: "0" @@ -2997,6 +3354,9 @@ 22785-22791 rgb_led_ws281x: bit: "1" 22791-22797 rgb_led_ws281x: bit: "1" 22797-22804 rgb_led_ws281x: bit: "1" +22656-22705 rgb_led_ws281x: g: "00" +22705-22754 rgb_led_ws281x: r: "00" +22754-22804 rgb_led_ws281x: b: "ef" 22656-22804 rgb_led_ws281x: rgb: "#0000ef" 22804-22810 rgb_led_ws281x: bit: "0" 22810-22816 rgb_led_ws281x: bit: "0" @@ -3022,6 +3382,9 @@ 22933-22939 rgb_led_ws281x: bit: "1" 22939-22945 rgb_led_ws281x: bit: "1" 22945-22952 rgb_led_ws281x: bit: "1" +22804-22853 rgb_led_ws281x: g: "00" +22853-22902 rgb_led_ws281x: r: "00" +22902-22952 rgb_led_ws281x: b: "df" 22804-22952 rgb_led_ws281x: rgb: "#0000df" 22952-22958 rgb_led_ws281x: bit: "0" 22958-22964 rgb_led_ws281x: bit: "0" @@ -3047,6 +3410,9 @@ 23081-23087 rgb_led_ws281x: bit: "1" 23087-23093 rgb_led_ws281x: bit: "1" 23093-23100 rgb_led_ws281x: bit: "1" +22952-23001 rgb_led_ws281x: g: "00" +23001-23050 rgb_led_ws281x: r: "00" +23050-23100 rgb_led_ws281x: b: "df" 22952-23100 rgb_led_ws281x: rgb: "#0000df" 23100-23106 rgb_led_ws281x: bit: "0" 23106-23112 rgb_led_ws281x: bit: "0" @@ -3072,6 +3438,9 @@ 23229-23235 rgb_led_ws281x: bit: "1" 23235-23242 rgb_led_ws281x: bit: "1" 23242-23248 rgb_led_ws281x: bit: "0" +23100-23149 rgb_led_ws281x: g: "00" +23149-23198 rgb_led_ws281x: r: "00" +23198-23248 rgb_led_ws281x: b: "be" 23100-23248 rgb_led_ws281x: rgb: "#0000be" 23248-23254 rgb_led_ws281x: bit: "0" 23254-23260 rgb_led_ws281x: bit: "0" @@ -3097,6 +3466,9 @@ 23377-23384 rgb_led_ws281x: bit: "1" 23384-23390 rgb_led_ws281x: bit: "0" 23390-23396 rgb_led_ws281x: bit: "1" +23248-23297 rgb_led_ws281x: g: "00" +23297-23347 rgb_led_ws281x: r: "00" +23347-23396 rgb_led_ws281x: b: "7d" 23248-23396 rgb_led_ws281x: rgb: "#00007d" 23396-23402 rgb_led_ws281x: bit: "0" 23402-23409 rgb_led_ws281x: bit: "0" @@ -3122,6 +3494,9 @@ 23525-23532 rgb_led_ws281x: bit: "1" 23532-23538 rgb_led_ws281x: bit: "1" 23538-23544 rgb_led_ws281x: bit: "1" +23396-23445 rgb_led_ws281x: g: "00" +23445-23495 rgb_led_ws281x: r: "00" +23495-23544 rgb_led_ws281x: b: "ff" 23396-23544 rgb_led_ws281x: rgb: "#0000ff" 23544-23551 rgb_led_ws281x: bit: "0" 23551-23557 rgb_led_ws281x: bit: "0" @@ -3147,6 +3522,9 @@ 23674-23680 rgb_led_ws281x: bit: "0" 23680-23686 rgb_led_ws281x: bit: "1" 23686-23693 rgb_led_ws281x: bit: "1" +23544-23594 rgb_led_ws281x: g: "00" +23594-23643 rgb_led_ws281x: r: "00" +23643-23693 rgb_led_ws281x: b: "fb" 23544-23693 rgb_led_ws281x: rgb: "#0000fb" 23693-23699 rgb_led_ws281x: bit: "0" 23699-23705 rgb_led_ws281x: bit: "0" @@ -3172,6 +3550,9 @@ 23822-23828 rgb_led_ws281x: bit: "1" 23828-23834 rgb_led_ws281x: bit: "1" 23834-23841 rgb_led_ws281x: bit: "1" +23693-23742 rgb_led_ws281x: g: "00" +23742-23791 rgb_led_ws281x: r: "00" +23791-23841 rgb_led_ws281x: b: "f7" 23693-23841 rgb_led_ws281x: rgb: "#0000f7" 23841-23847 rgb_led_ws281x: bit: "0" 23847-23853 rgb_led_ws281x: bit: "0" @@ -3197,6 +3578,9 @@ 23970-23976 rgb_led_ws281x: bit: "1" 23976-23982 rgb_led_ws281x: bit: "1" 23982-23989 rgb_led_ws281x: bit: "1" +23841-23890 rgb_led_ws281x: g: "00" +23890-23939 rgb_led_ws281x: r: "00" +23939-23989 rgb_led_ws281x: b: "ef" 23841-23989 rgb_led_ws281x: rgb: "#0000ef" 23989-23995 rgb_led_ws281x: bit: "0" 23995-24001 rgb_led_ws281x: bit: "0" @@ -3222,6 +3606,9 @@ 24118-24124 rgb_led_ws281x: bit: "1" 24124-24130 rgb_led_ws281x: bit: "1" 24130-24137 rgb_led_ws281x: bit: "1" +23989-24038 rgb_led_ws281x: g: "00" +24038-24087 rgb_led_ws281x: r: "00" +24087-24137 rgb_led_ws281x: b: "df" 23989-24137 rgb_led_ws281x: rgb: "#0000df" 24137-24143 rgb_led_ws281x: bit: "0" 24143-24149 rgb_led_ws281x: bit: "0" @@ -3247,6 +3634,9 @@ 24266-24272 rgb_led_ws281x: bit: "1" 24272-24278 rgb_led_ws281x: bit: "1" 24278-24285 rgb_led_ws281x: bit: "1" +24137-24186 rgb_led_ws281x: g: "00" +24186-24235 rgb_led_ws281x: r: "00" +24235-24285 rgb_led_ws281x: b: "ff" 24137-24285 rgb_led_ws281x: rgb: "#0000ff" 24285-24291 rgb_led_ws281x: bit: "0" 24291-24297 rgb_led_ws281x: bit: "0" @@ -3272,6 +3662,9 @@ 24414-24420 rgb_led_ws281x: bit: "1" 24420-24427 rgb_led_ws281x: bit: "1" 24427-24433 rgb_led_ws281x: bit: "0" +24285-24334 rgb_led_ws281x: g: "00" +24334-24383 rgb_led_ws281x: r: "00" +24383-24433 rgb_led_ws281x: b: "be" 24285-24433 rgb_led_ws281x: rgb: "#0000be" 24433-24439 rgb_led_ws281x: bit: "0" 24439-24445 rgb_led_ws281x: bit: "0" @@ -3297,6 +3690,9 @@ 24562-24569 rgb_led_ws281x: bit: "1" 24569-24575 rgb_led_ws281x: bit: "0" 24575-24581 rgb_led_ws281x: bit: "1" +24433-24482 rgb_led_ws281x: g: "00" +24482-24532 rgb_led_ws281x: r: "00" +24532-24581 rgb_led_ws281x: b: "7d" 24433-24581 rgb_led_ws281x: rgb: "#00007d" 24581-24587 rgb_led_ws281x: bit: "0" 24587-24594 rgb_led_ws281x: bit: "0" @@ -3322,6 +3718,9 @@ 24710-24717 rgb_led_ws281x: bit: "1" 24717-24723 rgb_led_ws281x: bit: "1" 24723-24729 rgb_led_ws281x: bit: "1" +24581-24630 rgb_led_ws281x: g: "00" +24630-24680 rgb_led_ws281x: r: "00" +24680-24729 rgb_led_ws281x: b: "ff" 24581-24729 rgb_led_ws281x: rgb: "#0000ff" 24729-24736 rgb_led_ws281x: bit: "0" 24736-24742 rgb_led_ws281x: bit: "0" @@ -3347,6 +3746,9 @@ 24859-24865 rgb_led_ws281x: bit: "1" 24865-24871 rgb_led_ws281x: bit: "1" 24871-24878 rgb_led_ws281x: bit: "1" +24729-24779 rgb_led_ws281x: g: "00" +24779-24828 rgb_led_ws281x: r: "00" +24828-24878 rgb_led_ws281x: b: "ff" 24729-24878 rgb_led_ws281x: rgb: "#0000ff" 24878-24884 rgb_led_ws281x: bit: "0" 24884-24890 rgb_led_ws281x: bit: "0" @@ -3372,6 +3774,9 @@ 25007-25013 rgb_led_ws281x: bit: "1" 25013-25019 rgb_led_ws281x: bit: "1" 25019-25026 rgb_led_ws281x: bit: "1" +24878-24927 rgb_led_ws281x: g: "00" +24927-24976 rgb_led_ws281x: r: "00" +24976-25026 rgb_led_ws281x: b: "f7" 24878-25026 rgb_led_ws281x: rgb: "#0000f7" 25026-25032 rgb_led_ws281x: bit: "0" 25032-25038 rgb_led_ws281x: bit: "0" @@ -3397,6 +3802,9 @@ 25155-25161 rgb_led_ws281x: bit: "1" 25161-25167 rgb_led_ws281x: bit: "1" 25167-25174 rgb_led_ws281x: bit: "1" +25026-25075 rgb_led_ws281x: g: "00" +25075-25124 rgb_led_ws281x: r: "00" +25124-25174 rgb_led_ws281x: b: "ef" 25026-25174 rgb_led_ws281x: rgb: "#0000ef" 25174-25180 rgb_led_ws281x: bit: "0" 25180-25186 rgb_led_ws281x: bit: "0" @@ -3422,6 +3830,9 @@ 25303-25309 rgb_led_ws281x: bit: "1" 25309-25315 rgb_led_ws281x: bit: "1" 25315-25322 rgb_led_ws281x: bit: "1" +25174-25223 rgb_led_ws281x: g: "00" +25223-25272 rgb_led_ws281x: r: "00" +25272-25322 rgb_led_ws281x: b: "df" 25174-25322 rgb_led_ws281x: rgb: "#0000df" 25322-25328 rgb_led_ws281x: bit: "0" 25328-25334 rgb_led_ws281x: bit: "0" @@ -3447,6 +3858,9 @@ 25451-25457 rgb_led_ws281x: bit: "1" 25457-25463 rgb_led_ws281x: bit: "1" 25463-25470 rgb_led_ws281x: bit: "1" +25322-25371 rgb_led_ws281x: g: "00" +25371-25420 rgb_led_ws281x: r: "00" +25420-25470 rgb_led_ws281x: b: "bf" 25322-25470 rgb_led_ws281x: rgb: "#0000bf" 25470-25476 rgb_led_ws281x: bit: "0" 25476-25482 rgb_led_ws281x: bit: "0" @@ -3472,6 +3886,9 @@ 25599-25605 rgb_led_ws281x: bit: "1" 25605-25612 rgb_led_ws281x: bit: "1" 25612-25618 rgb_led_ws281x: bit: "0" +25470-25519 rgb_led_ws281x: g: "00" +25519-25568 rgb_led_ws281x: r: "00" +25568-25618 rgb_led_ws281x: b: "fe" 25470-25618 rgb_led_ws281x: rgb: "#0000fe" 25618-25624 rgb_led_ws281x: bit: "0" 25624-25630 rgb_led_ws281x: bit: "0" @@ -3497,6 +3914,9 @@ 25747-25754 rgb_led_ws281x: bit: "1" 25754-25760 rgb_led_ws281x: bit: "0" 25760-25766 rgb_led_ws281x: bit: "1" +25618-25667 rgb_led_ws281x: g: "00" +25667-25717 rgb_led_ws281x: r: "00" +25717-25766 rgb_led_ws281x: b: "7d" 25618-25766 rgb_led_ws281x: rgb: "#00007d" 25766-25772 rgb_led_ws281x: bit: "0" 25772-25779 rgb_led_ws281x: bit: "0" @@ -3522,6 +3942,9 @@ 25895-25902 rgb_led_ws281x: bit: "1" 25902-25908 rgb_led_ws281x: bit: "1" 25908-25914 rgb_led_ws281x: bit: "1" +25766-25816 rgb_led_ws281x: g: "00" +25816-25865 rgb_led_ws281x: r: "00" +25865-25914 rgb_led_ws281x: b: "ff" 25766-25914 rgb_led_ws281x: rgb: "#0000ff" 25914-25921 rgb_led_ws281x: bit: "0" 25921-25927 rgb_led_ws281x: bit: "0" @@ -3547,6 +3970,9 @@ 26044-26050 rgb_led_ws281x: bit: "1" 26050-26056 rgb_led_ws281x: bit: "1" 26056-26063 rgb_led_ws281x: bit: "1" +25914-25964 rgb_led_ws281x: g: "00" +25964-26013 rgb_led_ws281x: r: "00" +26013-26063 rgb_led_ws281x: b: "ff" 25914-26063 rgb_led_ws281x: rgb: "#0000ff" 26063-26069 rgb_led_ws281x: bit: "0" 26069-26075 rgb_led_ws281x: bit: "0" @@ -3572,6 +3998,9 @@ 26192-26198 rgb_led_ws281x: bit: "1" 26198-26204 rgb_led_ws281x: bit: "1" 26204-26211 rgb_led_ws281x: bit: "1" +26063-26112 rgb_led_ws281x: g: "00" +26112-26161 rgb_led_ws281x: r: "00" +26161-26211 rgb_led_ws281x: b: "f7" 26063-26211 rgb_led_ws281x: rgb: "#0000f7" 26211-26217 rgb_led_ws281x: bit: "0" 26217-26223 rgb_led_ws281x: bit: "0" @@ -3597,6 +4026,9 @@ 26340-26346 rgb_led_ws281x: bit: "1" 26346-26352 rgb_led_ws281x: bit: "1" 26352-26359 rgb_led_ws281x: bit: "1" +26211-26260 rgb_led_ws281x: g: "00" +26260-26309 rgb_led_ws281x: r: "00" +26309-26359 rgb_led_ws281x: b: "ef" 26211-26359 rgb_led_ws281x: rgb: "#0000ef" 26359-26365 rgb_led_ws281x: bit: "0" 26365-26371 rgb_led_ws281x: bit: "0" @@ -3622,6 +4054,9 @@ 26488-26494 rgb_led_ws281x: bit: "1" 26494-26500 rgb_led_ws281x: bit: "1" 26500-26507 rgb_led_ws281x: bit: "1" +26359-26408 rgb_led_ws281x: g: "00" +26408-26457 rgb_led_ws281x: r: "00" +26457-26507 rgb_led_ws281x: b: "df" 26359-26507 rgb_led_ws281x: rgb: "#0000df" 26507-26513 rgb_led_ws281x: bit: "0" 26513-26519 rgb_led_ws281x: bit: "0" @@ -3647,6 +4082,9 @@ 26636-26642 rgb_led_ws281x: bit: "1" 26642-26648 rgb_led_ws281x: bit: "1" 26648-26655 rgb_led_ws281x: bit: "1" +26507-26556 rgb_led_ws281x: g: "00" +26556-26605 rgb_led_ws281x: r: "00" +26605-26655 rgb_led_ws281x: b: "bf" 26507-26655 rgb_led_ws281x: rgb: "#0000bf" 26655-26661 rgb_led_ws281x: bit: "0" 26661-26667 rgb_led_ws281x: bit: "0" @@ -3672,6 +4110,9 @@ 26784-26790 rgb_led_ws281x: bit: "1" 26790-26797 rgb_led_ws281x: bit: "1" 26797-26803 rgb_led_ws281x: bit: "0" +26655-26704 rgb_led_ws281x: g: "00" +26704-26754 rgb_led_ws281x: r: "00" +26754-26803 rgb_led_ws281x: b: "7e" 26655-26803 rgb_led_ws281x: rgb: "#00007e" 26803-26809 rgb_led_ws281x: bit: "0" 26809-26815 rgb_led_ws281x: bit: "0" @@ -3697,6 +4138,9 @@ 26932-26939 rgb_led_ws281x: bit: "1" 26939-26945 rgb_led_ws281x: bit: "0" 26945-26951 rgb_led_ws281x: bit: "1" +26803-26852 rgb_led_ws281x: g: "00" +26852-26902 rgb_led_ws281x: r: "00" +26902-26951 rgb_led_ws281x: b: "7d" 26803-26951 rgb_led_ws281x: rgb: "#00007d" 26951-26957 rgb_led_ws281x: bit: "0" 26957-26964 rgb_led_ws281x: bit: "0" @@ -3722,6 +4166,9 @@ 27081-27087 rgb_led_ws281x: bit: "0" 27087-27093 rgb_led_ws281x: bit: "1" 27093-27099 rgb_led_ws281x: bit: "1" +26951-27001 rgb_led_ws281x: g: "00" +27001-27050 rgb_led_ws281x: r: "00" +27050-27099 rgb_led_ws281x: b: "fb" 26951-27099 rgb_led_ws281x: rgb: "#0000fb" 27099-27106 rgb_led_ws281x: bit: "0" 27106-27112 rgb_led_ws281x: bit: "0" @@ -3747,6 +4194,9 @@ 27229-27235 rgb_led_ws281x: bit: "1" 27235-27241 rgb_led_ws281x: bit: "1" 27241-27248 rgb_led_ws281x: bit: "1" +27099-27149 rgb_led_ws281x: g: "00" +27149-27198 rgb_led_ws281x: r: "00" +27198-27248 rgb_led_ws281x: b: "ff" 27099-27248 rgb_led_ws281x: rgb: "#0000ff" 27248-27254 rgb_led_ws281x: bit: "0" 27254-27260 rgb_led_ws281x: bit: "0" @@ -3772,6 +4222,9 @@ 27377-27383 rgb_led_ws281x: bit: "1" 27383-27389 rgb_led_ws281x: bit: "1" 27389-27396 rgb_led_ws281x: bit: "1" +27248-27297 rgb_led_ws281x: g: "00" +27297-27346 rgb_led_ws281x: r: "00" +27346-27396 rgb_led_ws281x: b: "f7" 27248-27396 rgb_led_ws281x: rgb: "#0000f7" 27396-27402 rgb_led_ws281x: bit: "0" 27402-27408 rgb_led_ws281x: bit: "0" @@ -3797,6 +4250,9 @@ 27525-27531 rgb_led_ws281x: bit: "1" 27531-27537 rgb_led_ws281x: bit: "1" 27537-27544 rgb_led_ws281x: bit: "1" +27396-27445 rgb_led_ws281x: g: "00" +27445-27494 rgb_led_ws281x: r: "00" +27494-27544 rgb_led_ws281x: b: "ef" 27396-27544 rgb_led_ws281x: rgb: "#0000ef" 27544-27550 rgb_led_ws281x: bit: "0" 27550-27556 rgb_led_ws281x: bit: "0" @@ -3822,6 +4278,9 @@ 27673-27679 rgb_led_ws281x: bit: "1" 27679-27685 rgb_led_ws281x: bit: "1" 27685-27692 rgb_led_ws281x: bit: "1" +27544-27593 rgb_led_ws281x: g: "00" +27593-27642 rgb_led_ws281x: r: "00" +27642-27692 rgb_led_ws281x: b: "df" 27544-27692 rgb_led_ws281x: rgb: "#0000df" 27692-27698 rgb_led_ws281x: bit: "0" 27698-27704 rgb_led_ws281x: bit: "0" @@ -3847,6 +4306,9 @@ 27821-27827 rgb_led_ws281x: bit: "1" 27827-27833 rgb_led_ws281x: bit: "1" 27833-27840 rgb_led_ws281x: bit: "1" +27692-27741 rgb_led_ws281x: g: "00" +27741-27790 rgb_led_ws281x: r: "00" +27790-27840 rgb_led_ws281x: b: "bf" 27692-27840 rgb_led_ws281x: rgb: "#0000bf" 27840-27846 rgb_led_ws281x: bit: "0" 27846-27852 rgb_led_ws281x: bit: "0" @@ -3872,6 +4334,9 @@ 27969-27975 rgb_led_ws281x: bit: "1" 27975-27982 rgb_led_ws281x: bit: "1" 27982-27988 rgb_led_ws281x: bit: "0" +27840-27889 rgb_led_ws281x: g: "00" +27889-27939 rgb_led_ws281x: r: "00" +27939-27988 rgb_led_ws281x: b: "7e" 27840-27988 rgb_led_ws281x: rgb: "#00007e" 27988-27994 rgb_led_ws281x: bit: "0" 27994-28000 rgb_led_ws281x: bit: "0" @@ -3897,6 +4362,9 @@ 28117-28124 rgb_led_ws281x: bit: "1" 28124-28130 rgb_led_ws281x: bit: "0" 28130-28136 rgb_led_ws281x: bit: "1" +27988-28037 rgb_led_ws281x: g: "00" +28037-28087 rgb_led_ws281x: r: "00" +28087-28136 rgb_led_ws281x: b: "fd" 27988-28136 rgb_led_ws281x: rgb: "#0000fd" 28136-28142 rgb_led_ws281x: bit: "0" 28142-28149 rgb_led_ws281x: bit: "0" @@ -3922,6 +4390,9 @@ 28266-28272 rgb_led_ws281x: bit: "0" 28272-28278 rgb_led_ws281x: bit: "1" 28278-28284 rgb_led_ws281x: bit: "1" +28136-28186 rgb_led_ws281x: g: "00" +28186-28235 rgb_led_ws281x: r: "00" +28235-28284 rgb_led_ws281x: b: "fb" 28136-28284 rgb_led_ws281x: rgb: "#0000fb" 28284-28291 rgb_led_ws281x: bit: "0" 28291-28297 rgb_led_ws281x: bit: "0" @@ -3947,6 +4418,9 @@ 28414-28420 rgb_led_ws281x: bit: "1" 28420-28426 rgb_led_ws281x: bit: "1" 28426-28433 rgb_led_ws281x: bit: "1" +28284-28334 rgb_led_ws281x: g: "00" +28334-28383 rgb_led_ws281x: r: "00" +28383-28433 rgb_led_ws281x: b: "ff" 28284-28433 rgb_led_ws281x: rgb: "#0000ff" 28433-28439 rgb_led_ws281x: bit: "0" 28439-28445 rgb_led_ws281x: bit: "0" @@ -3972,6 +4446,9 @@ 28562-28568 rgb_led_ws281x: bit: "1" 28568-28574 rgb_led_ws281x: bit: "1" 28574-28581 rgb_led_ws281x: bit: "1" +28433-28482 rgb_led_ws281x: g: "00" +28482-28531 rgb_led_ws281x: r: "00" +28531-28581 rgb_led_ws281x: b: "f7" 28433-28581 rgb_led_ws281x: rgb: "#0000f7" 28581-28587 rgb_led_ws281x: bit: "0" 28587-28593 rgb_led_ws281x: bit: "0" @@ -3997,6 +4474,9 @@ 28710-28716 rgb_led_ws281x: bit: "1" 28716-28722 rgb_led_ws281x: bit: "1" 28722-28729 rgb_led_ws281x: bit: "1" +28581-28630 rgb_led_ws281x: g: "00" +28630-28679 rgb_led_ws281x: r: "00" +28679-28729 rgb_led_ws281x: b: "ef" 28581-28729 rgb_led_ws281x: rgb: "#0000ef" 28729-28735 rgb_led_ws281x: bit: "0" 28735-28741 rgb_led_ws281x: bit: "0" @@ -4022,6 +4502,9 @@ 28858-28864 rgb_led_ws281x: bit: "1" 28864-28870 rgb_led_ws281x: bit: "1" 28870-28877 rgb_led_ws281x: bit: "1" +28729-28778 rgb_led_ws281x: g: "00" +28778-28827 rgb_led_ws281x: r: "00" +28827-28877 rgb_led_ws281x: b: "df" 28729-28877 rgb_led_ws281x: rgb: "#0000df" 28877-28883 rgb_led_ws281x: bit: "0" 28883-28889 rgb_led_ws281x: bit: "0" @@ -4047,6 +4530,9 @@ 29006-29012 rgb_led_ws281x: bit: "1" 29012-29018 rgb_led_ws281x: bit: "1" 29018-29025 rgb_led_ws281x: bit: "1" +28877-28926 rgb_led_ws281x: g: "00" +28926-28975 rgb_led_ws281x: r: "00" +28975-29025 rgb_led_ws281x: b: "bf" 28877-29025 rgb_led_ws281x: rgb: "#0000bf" 29025-29031 rgb_led_ws281x: bit: "0" 29031-29037 rgb_led_ws281x: bit: "0" @@ -4072,6 +4558,9 @@ 29154-29160 rgb_led_ws281x: bit: "1" 29160-29167 rgb_led_ws281x: bit: "1" 29167-29173 rgb_led_ws281x: bit: "0" +29025-29074 rgb_led_ws281x: g: "00" +29074-29124 rgb_led_ws281x: r: "00" +29124-29173 rgb_led_ws281x: b: "7e" 29025-29173 rgb_led_ws281x: rgb: "#00007e" 29173-29179 rgb_led_ws281x: bit: "0" 29179-29186 rgb_led_ws281x: bit: "0" @@ -4097,6 +4586,9 @@ 29302-29309 rgb_led_ws281x: bit: "1" 29309-29315 rgb_led_ws281x: bit: "0" 29315-29321 rgb_led_ws281x: bit: "1" +29173-29222 rgb_led_ws281x: g: "00" +29222-29272 rgb_led_ws281x: r: "00" +29272-29321 rgb_led_ws281x: b: "fd" 29173-29321 rgb_led_ws281x: rgb: "#0000fd" 29321-29327 rgb_led_ws281x: bit: "0" 29327-29334 rgb_led_ws281x: bit: "0" @@ -4122,6 +4614,9 @@ 29451-29457 rgb_led_ws281x: bit: "0" 29457-29463 rgb_led_ws281x: bit: "1" 29463-29469 rgb_led_ws281x: bit: "1" +29321-29371 rgb_led_ws281x: g: "00" +29371-29420 rgb_led_ws281x: r: "00" +29420-29469 rgb_led_ws281x: b: "fb" 29321-29469 rgb_led_ws281x: rgb: "#0000fb" 29469-29476 rgb_led_ws281x: bit: "0" 29476-29482 rgb_led_ws281x: bit: "0" @@ -4147,6 +4642,9 @@ 29599-29605 rgb_led_ws281x: bit: "1" 29605-29611 rgb_led_ws281x: bit: "1" 29611-29618 rgb_led_ws281x: bit: "1" +29469-29519 rgb_led_ws281x: g: "00" +29519-29568 rgb_led_ws281x: r: "00" +29568-29618 rgb_led_ws281x: b: "f7" 29469-29618 rgb_led_ws281x: rgb: "#0000f7" 29618-29624 rgb_led_ws281x: bit: "0" 29624-29630 rgb_led_ws281x: bit: "0" @@ -4172,6 +4670,9 @@ 29747-29753 rgb_led_ws281x: bit: "1" 29753-29759 rgb_led_ws281x: bit: "1" 29759-29766 rgb_led_ws281x: bit: "1" +29618-29667 rgb_led_ws281x: g: "00" +29667-29716 rgb_led_ws281x: r: "00" +29716-29766 rgb_led_ws281x: b: "ff" 29618-29766 rgb_led_ws281x: rgb: "#0000ff" 29766-29772 rgb_led_ws281x: bit: "0" 29772-29778 rgb_led_ws281x: bit: "0" @@ -4197,6 +4698,9 @@ 29895-29901 rgb_led_ws281x: bit: "1" 29901-29907 rgb_led_ws281x: bit: "1" 29907-29914 rgb_led_ws281x: bit: "1" +29766-29815 rgb_led_ws281x: g: "00" +29815-29864 rgb_led_ws281x: r: "00" +29864-29914 rgb_led_ws281x: b: "ef" 29766-29914 rgb_led_ws281x: rgb: "#0000ef" 29914-29920 rgb_led_ws281x: bit: "0" 29920-29926 rgb_led_ws281x: bit: "0" @@ -4222,6 +4726,9 @@ 30043-30049 rgb_led_ws281x: bit: "1" 30049-30055 rgb_led_ws281x: bit: "1" 30055-30062 rgb_led_ws281x: bit: "1" +29914-29963 rgb_led_ws281x: g: "00" +29963-30012 rgb_led_ws281x: r: "00" +30012-30062 rgb_led_ws281x: b: "df" 29914-30062 rgb_led_ws281x: rgb: "#0000df" 30062-30068 rgb_led_ws281x: bit: "0" 30068-30074 rgb_led_ws281x: bit: "0" @@ -4247,6 +4754,9 @@ 30191-30197 rgb_led_ws281x: bit: "1" 30197-30204 rgb_led_ws281x: bit: "1" 30204-30210 rgb_led_ws281x: bit: "0" +30062-30111 rgb_led_ws281x: g: "00" +30111-30160 rgb_led_ws281x: r: "00" +30160-30210 rgb_led_ws281x: b: "be" 30062-30210 rgb_led_ws281x: rgb: "#0000be" 30210-30216 rgb_led_ws281x: bit: "0" 30216-30222 rgb_led_ws281x: bit: "0" @@ -4272,6 +4782,9 @@ 30339-30345 rgb_led_ws281x: bit: "1" 30345-30352 rgb_led_ws281x: bit: "1" 30352-30358 rgb_led_ws281x: bit: "0" +30210-30259 rgb_led_ws281x: g: "00" +30259-30309 rgb_led_ws281x: r: "00" +30309-30358 rgb_led_ws281x: b: "7e" 30210-30358 rgb_led_ws281x: rgb: "#00007e" 30358-30364 rgb_led_ws281x: bit: "0" 30364-30371 rgb_led_ws281x: bit: "0" @@ -4297,6 +4810,9 @@ 30487-30494 rgb_led_ws281x: bit: "1" 30494-30500 rgb_led_ws281x: bit: "0" 30500-30506 rgb_led_ws281x: bit: "1" +30358-30407 rgb_led_ws281x: g: "00" +30407-30457 rgb_led_ws281x: r: "00" +30457-30506 rgb_led_ws281x: b: "fd" 30358-30506 rgb_led_ws281x: rgb: "#0000fd" 30506-30512 rgb_led_ws281x: bit: "0" 30512-30519 rgb_led_ws281x: bit: "0" @@ -4322,6 +4838,9 @@ 30636-30642 rgb_led_ws281x: bit: "0" 30642-30648 rgb_led_ws281x: bit: "1" 30648-30654 rgb_led_ws281x: bit: "1" +30506-30556 rgb_led_ws281x: g: "00" +30556-30605 rgb_led_ws281x: r: "00" +30605-30654 rgb_led_ws281x: b: "fb" 30506-30654 rgb_led_ws281x: rgb: "#0000fb" 30654-30661 rgb_led_ws281x: bit: "0" 30661-30667 rgb_led_ws281x: bit: "0" @@ -4347,6 +4866,9 @@ 30784-30790 rgb_led_ws281x: bit: "1" 30790-30796 rgb_led_ws281x: bit: "1" 30796-30803 rgb_led_ws281x: bit: "1" +30654-30704 rgb_led_ws281x: g: "00" +30704-30753 rgb_led_ws281x: r: "00" +30753-30803 rgb_led_ws281x: b: "f7" 30654-30803 rgb_led_ws281x: rgb: "#0000f7" 30803-30809 rgb_led_ws281x: bit: "0" 30809-30815 rgb_led_ws281x: bit: "0" @@ -4372,6 +4894,9 @@ 30932-30938 rgb_led_ws281x: bit: "1" 30938-30944 rgb_led_ws281x: bit: "1" 30944-30951 rgb_led_ws281x: bit: "1" +30803-30852 rgb_led_ws281x: g: "00" +30852-30901 rgb_led_ws281x: r: "00" +30901-30951 rgb_led_ws281x: b: "ef" 30803-30951 rgb_led_ws281x: rgb: "#0000ef" 30951-30957 rgb_led_ws281x: bit: "0" 30957-30963 rgb_led_ws281x: bit: "0" @@ -4397,6 +4922,9 @@ 31080-31086 rgb_led_ws281x: bit: "1" 31086-31092 rgb_led_ws281x: bit: "1" 31092-31099 rgb_led_ws281x: bit: "1" +30951-31000 rgb_led_ws281x: g: "00" +31000-31049 rgb_led_ws281x: r: "00" +31049-31099 rgb_led_ws281x: b: "ff" 30951-31099 rgb_led_ws281x: rgb: "#0000ff" 31099-31105 rgb_led_ws281x: bit: "0" 31105-31111 rgb_led_ws281x: bit: "0" @@ -4422,6 +4950,9 @@ 31228-31234 rgb_led_ws281x: bit: "1" 31234-31240 rgb_led_ws281x: bit: "1" 31240-31247 rgb_led_ws281x: bit: "1" +31099-31148 rgb_led_ws281x: g: "00" +31148-31197 rgb_led_ws281x: r: "00" +31197-31247 rgb_led_ws281x: b: "df" 31099-31247 rgb_led_ws281x: rgb: "#0000df" 31247-31253 rgb_led_ws281x: bit: "0" 31253-31259 rgb_led_ws281x: bit: "0" @@ -4447,6 +4978,9 @@ 31376-31382 rgb_led_ws281x: bit: "1" 31382-31389 rgb_led_ws281x: bit: "1" 31389-31395 rgb_led_ws281x: bit: "0" +31247-31296 rgb_led_ws281x: g: "00" +31296-31345 rgb_led_ws281x: r: "00" +31345-31395 rgb_led_ws281x: b: "be" 31247-31395 rgb_led_ws281x: rgb: "#0000be" 31395-31401 rgb_led_ws281x: bit: "0" 31401-31407 rgb_led_ws281x: bit: "0" @@ -4472,6 +5006,9 @@ 31524-31530 rgb_led_ws281x: bit: "1" 31530-31537 rgb_led_ws281x: bit: "1" 31537-31543 rgb_led_ws281x: bit: "1" +31395-31444 rgb_led_ws281x: g: "00" +31444-31494 rgb_led_ws281x: r: "00" +31494-31543 rgb_led_ws281x: b: "7f" 31395-31543 rgb_led_ws281x: rgb: "#00007f" 31543-31549 rgb_led_ws281x: bit: "0" 31549-31556 rgb_led_ws281x: bit: "0" @@ -4497,6 +5034,9 @@ 31672-31679 rgb_led_ws281x: bit: "1" 31679-31685 rgb_led_ws281x: bit: "0" 31685-31691 rgb_led_ws281x: bit: "1" +31543-31592 rgb_led_ws281x: g: "00" +31592-31642 rgb_led_ws281x: r: "00" +31642-31691 rgb_led_ws281x: b: "fd" 31543-31691 rgb_led_ws281x: rgb: "#0000fd" 31691-31698 rgb_led_ws281x: bit: "0" 31698-31704 rgb_led_ws281x: bit: "0" @@ -4522,6 +5062,9 @@ 31821-31827 rgb_led_ws281x: bit: "0" 31827-31833 rgb_led_ws281x: bit: "1" 31833-31839 rgb_led_ws281x: bit: "1" +31691-31741 rgb_led_ws281x: g: "00" +31741-31790 rgb_led_ws281x: r: "00" +31790-31839 rgb_led_ws281x: b: "fb" 31691-31839 rgb_led_ws281x: rgb: "#0000fb" 31839-31846 rgb_led_ws281x: bit: "0" 31846-31852 rgb_led_ws281x: bit: "0" @@ -4547,6 +5090,9 @@ 31969-31975 rgb_led_ws281x: bit: "1" 31975-31981 rgb_led_ws281x: bit: "1" 31981-31988 rgb_led_ws281x: bit: "1" +31839-31889 rgb_led_ws281x: g: "00" +31889-31938 rgb_led_ws281x: r: "00" +31938-31988 rgb_led_ws281x: b: "f7" 31839-31988 rgb_led_ws281x: rgb: "#0000f7" 31988-31994 rgb_led_ws281x: bit: "0" 31994-32000 rgb_led_ws281x: bit: "0" @@ -4572,6 +5118,9 @@ 32117-32123 rgb_led_ws281x: bit: "1" 32123-32129 rgb_led_ws281x: bit: "1" 32129-32136 rgb_led_ws281x: bit: "1" +31988-32037 rgb_led_ws281x: g: "00" +32037-32086 rgb_led_ws281x: r: "00" +32086-32136 rgb_led_ws281x: b: "ef" 31988-32136 rgb_led_ws281x: rgb: "#0000ef" 32136-32142 rgb_led_ws281x: bit: "0" 32142-32148 rgb_led_ws281x: bit: "0" @@ -4597,6 +5146,9 @@ 32265-32271 rgb_led_ws281x: bit: "1" 32271-32277 rgb_led_ws281x: bit: "1" 32277-32284 rgb_led_ws281x: bit: "1" +32136-32185 rgb_led_ws281x: g: "00" +32185-32234 rgb_led_ws281x: r: "00" +32234-32284 rgb_led_ws281x: b: "ff" 32136-32284 rgb_led_ws281x: rgb: "#0000ff" 32284-32290 rgb_led_ws281x: bit: "0" 32290-32296 rgb_led_ws281x: bit: "0" @@ -4622,6 +5174,9 @@ 32413-32419 rgb_led_ws281x: bit: "1" 32419-32425 rgb_led_ws281x: bit: "1" 32425-32432 rgb_led_ws281x: bit: "1" +32284-32333 rgb_led_ws281x: g: "00" +32333-32382 rgb_led_ws281x: r: "00" +32382-32432 rgb_led_ws281x: b: "df" 32284-32432 rgb_led_ws281x: rgb: "#0000df" 32432-32438 rgb_led_ws281x: bit: "0" 32438-32444 rgb_led_ws281x: bit: "0" @@ -4647,6 +5202,9 @@ 32561-32567 rgb_led_ws281x: bit: "1" 32567-32574 rgb_led_ws281x: bit: "1" 32574-32580 rgb_led_ws281x: bit: "0" +32432-32481 rgb_led_ws281x: g: "00" +32481-32530 rgb_led_ws281x: r: "00" +32530-32580 rgb_led_ws281x: b: "be" 32432-32580 rgb_led_ws281x: rgb: "#0000be" 32580-32586 rgb_led_ws281x: bit: "0" 32586-32592 rgb_led_ws281x: bit: "0" @@ -4672,6 +5230,9 @@ 32709-32715 rgb_led_ws281x: bit: "1" 32715-32722 rgb_led_ws281x: bit: "1" 32722-32728 rgb_led_ws281x: bit: "1" +32580-32629 rgb_led_ws281x: g: "00" +32629-32679 rgb_led_ws281x: r: "00" +32679-32728 rgb_led_ws281x: b: "7f" 32580-32728 rgb_led_ws281x: rgb: "#00007f" 32728-32734 rgb_led_ws281x: bit: "0" 32734-32741 rgb_led_ws281x: bit: "0" @@ -4697,6 +5258,9 @@ 32857-32864 rgb_led_ws281x: bit: "1" 32864-32870 rgb_led_ws281x: bit: "0" 32870-32876 rgb_led_ws281x: bit: "1" +32728-32777 rgb_led_ws281x: g: "00" +32777-32827 rgb_led_ws281x: r: "00" +32827-32876 rgb_led_ws281x: b: "fd" 32728-32876 rgb_led_ws281x: rgb: "#0000fd" 32876-32883 rgb_led_ws281x: bit: "0" 32883-32889 rgb_led_ws281x: bit: "0" @@ -4722,6 +5286,9 @@ 33006-33012 rgb_led_ws281x: bit: "0" 33012-33018 rgb_led_ws281x: bit: "1" 33018-33025 rgb_led_ws281x: bit: "1" +32876-32926 rgb_led_ws281x: g: "00" +32926-32975 rgb_led_ws281x: r: "00" +32975-33025 rgb_led_ws281x: b: "fb" 32876-33025 rgb_led_ws281x: rgb: "#0000fb" 33025-33031 rgb_led_ws281x: bit: "0" 33031-33037 rgb_led_ws281x: bit: "0" @@ -4747,6 +5314,9 @@ 33154-33160 rgb_led_ws281x: bit: "1" 33160-33166 rgb_led_ws281x: bit: "1" 33166-33173 rgb_led_ws281x: bit: "1" +33025-33074 rgb_led_ws281x: g: "00" +33074-33123 rgb_led_ws281x: r: "00" +33123-33173 rgb_led_ws281x: b: "f7" 33025-33173 rgb_led_ws281x: rgb: "#0000f7" 33173-33179 rgb_led_ws281x: bit: "0" 33179-33185 rgb_led_ws281x: bit: "0" @@ -4772,6 +5342,9 @@ 33302-33308 rgb_led_ws281x: bit: "1" 33308-33314 rgb_led_ws281x: bit: "1" 33314-33321 rgb_led_ws281x: bit: "1" +33173-33222 rgb_led_ws281x: g: "00" +33222-33271 rgb_led_ws281x: r: "00" +33271-33321 rgb_led_ws281x: b: "ef" 33173-33321 rgb_led_ws281x: rgb: "#0000ef" 33321-33327 rgb_led_ws281x: bit: "0" 33327-33333 rgb_led_ws281x: bit: "0" @@ -4797,6 +5370,9 @@ 33450-33456 rgb_led_ws281x: bit: "1" 33456-33462 rgb_led_ws281x: bit: "1" 33462-33469 rgb_led_ws281x: bit: "1" +33321-33370 rgb_led_ws281x: g: "00" +33370-33419 rgb_led_ws281x: r: "00" +33419-33469 rgb_led_ws281x: b: "df" 33321-33469 rgb_led_ws281x: rgb: "#0000df" 33469-33475 rgb_led_ws281x: bit: "0" 33475-33481 rgb_led_ws281x: bit: "0" @@ -4822,6 +5398,9 @@ 33598-33604 rgb_led_ws281x: bit: "1" 33604-33610 rgb_led_ws281x: bit: "1" 33610-33617 rgb_led_ws281x: bit: "1" +33469-33518 rgb_led_ws281x: g: "00" +33518-33567 rgb_led_ws281x: r: "00" +33567-33617 rgb_led_ws281x: b: "ff" 33469-33617 rgb_led_ws281x: rgb: "#0000ff" 33617-33623 rgb_led_ws281x: bit: "0" 33623-33629 rgb_led_ws281x: bit: "0" @@ -4847,6 +5426,9 @@ 33746-33752 rgb_led_ws281x: bit: "1" 33752-33759 rgb_led_ws281x: bit: "1" 33759-33765 rgb_led_ws281x: bit: "0" +33617-33666 rgb_led_ws281x: g: "00" +33666-33715 rgb_led_ws281x: r: "00" +33715-33765 rgb_led_ws281x: b: "be" 33617-33765 rgb_led_ws281x: rgb: "#0000be" 33765-33771 rgb_led_ws281x: bit: "0" 33771-33777 rgb_led_ws281x: bit: "0" @@ -4872,6 +5454,9 @@ 33894-33901 rgb_led_ws281x: bit: "1" 33901-33907 rgb_led_ws281x: bit: "0" 33907-33913 rgb_led_ws281x: bit: "1" +33765-33814 rgb_led_ws281x: g: "00" +33814-33864 rgb_led_ws281x: r: "00" +33864-33913 rgb_led_ws281x: b: "7d" 33765-33913 rgb_led_ws281x: rgb: "#00007d" 33913-33919 rgb_led_ws281x: bit: "0" 33919-33926 rgb_led_ws281x: bit: "0" @@ -4897,6 +5482,9 @@ 34042-34049 rgb_led_ws281x: bit: "1" 34049-34055 rgb_led_ws281x: bit: "1" 34055-34061 rgb_led_ws281x: bit: "1" +33913-33963 rgb_led_ws281x: g: "00" +33963-34012 rgb_led_ws281x: r: "00" +34012-34061 rgb_led_ws281x: b: "ff" 33913-34061 rgb_led_ws281x: rgb: "#0000ff" 34061-34068 rgb_led_ws281x: bit: "0" 34068-34074 rgb_led_ws281x: bit: "0" @@ -4922,6 +5510,9 @@ 34191-34197 rgb_led_ws281x: bit: "0" 34197-34203 rgb_led_ws281x: bit: "1" 34203-34210 rgb_led_ws281x: bit: "1" +34061-34111 rgb_led_ws281x: g: "00" +34111-34160 rgb_led_ws281x: r: "00" +34160-34210 rgb_led_ws281x: b: "fb" 34061-34210 rgb_led_ws281x: rgb: "#0000fb" 34210-34216 rgb_led_ws281x: bit: "0" 34216-34222 rgb_led_ws281x: bit: "0" @@ -4947,6 +5538,9 @@ 34339-34345 rgb_led_ws281x: bit: "1" 34345-34351 rgb_led_ws281x: bit: "1" 34351-34358 rgb_led_ws281x: bit: "1" +34210-34259 rgb_led_ws281x: g: "00" +34259-34308 rgb_led_ws281x: r: "00" +34308-34358 rgb_led_ws281x: b: "f7" 34210-34358 rgb_led_ws281x: rgb: "#0000f7" 34358-34364 rgb_led_ws281x: bit: "0" 34364-34370 rgb_led_ws281x: bit: "0" @@ -4972,6 +5566,9 @@ 34487-34493 rgb_led_ws281x: bit: "1" 34493-34499 rgb_led_ws281x: bit: "1" 34499-34506 rgb_led_ws281x: bit: "1" +34358-34407 rgb_led_ws281x: g: "00" +34407-34456 rgb_led_ws281x: r: "00" +34456-34506 rgb_led_ws281x: b: "ef" 34358-34506 rgb_led_ws281x: rgb: "#0000ef" 34506-34512 rgb_led_ws281x: bit: "0" 34512-34518 rgb_led_ws281x: bit: "0" @@ -4997,6 +5594,9 @@ 34635-34641 rgb_led_ws281x: bit: "1" 34641-34647 rgb_led_ws281x: bit: "1" 34647-34654 rgb_led_ws281x: bit: "1" +34506-34555 rgb_led_ws281x: g: "00" +34555-34604 rgb_led_ws281x: r: "00" +34604-34654 rgb_led_ws281x: b: "df" 34506-34654 rgb_led_ws281x: rgb: "#0000df" 34654-34660 rgb_led_ws281x: bit: "0" 34660-34666 rgb_led_ws281x: bit: "0" @@ -5022,6 +5622,9 @@ 34783-34789 rgb_led_ws281x: bit: "1" 34789-34795 rgb_led_ws281x: bit: "1" 34795-34802 rgb_led_ws281x: bit: "1" +34654-34703 rgb_led_ws281x: g: "00" +34703-34752 rgb_led_ws281x: r: "00" +34752-34802 rgb_led_ws281x: b: "bf" 34654-34802 rgb_led_ws281x: rgb: "#0000bf" 34802-34808 rgb_led_ws281x: bit: "0" 34808-34814 rgb_led_ws281x: bit: "0" @@ -5047,6 +5650,9 @@ 34931-34937 rgb_led_ws281x: bit: "1" 34937-34944 rgb_led_ws281x: bit: "1" 34944-34950 rgb_led_ws281x: bit: "0" +34802-34851 rgb_led_ws281x: g: "00" +34851-34900 rgb_led_ws281x: r: "00" +34900-34950 rgb_led_ws281x: b: "be" 34802-34950 rgb_led_ws281x: rgb: "#0000be" 34950-34956 rgb_led_ws281x: bit: "0" 34956-34962 rgb_led_ws281x: bit: "0" @@ -5072,6 +5678,9 @@ 35079-35086 rgb_led_ws281x: bit: "1" 35086-35092 rgb_led_ws281x: bit: "0" 35092-35098 rgb_led_ws281x: bit: "1" +34950-34999 rgb_led_ws281x: g: "00" +34999-35049 rgb_led_ws281x: r: "00" +35049-35098 rgb_led_ws281x: b: "7d" 34950-35098 rgb_led_ws281x: rgb: "#00007d" 35098-35104 rgb_led_ws281x: bit: "0" 35104-35111 rgb_led_ws281x: bit: "0" @@ -5097,6 +5706,9 @@ 35227-35234 rgb_led_ws281x: bit: "1" 35234-35240 rgb_led_ws281x: bit: "1" 35240-35246 rgb_led_ws281x: bit: "1" +35098-35148 rgb_led_ws281x: g: "00" +35148-35197 rgb_led_ws281x: r: "00" +35197-35246 rgb_led_ws281x: b: "ff" 35098-35246 rgb_led_ws281x: rgb: "#0000ff" 35246-35253 rgb_led_ws281x: bit: "0" 35253-35259 rgb_led_ws281x: bit: "0" @@ -5122,6 +5734,9 @@ 35376-35382 rgb_led_ws281x: bit: "1" 35382-35388 rgb_led_ws281x: bit: "1" 35388-35395 rgb_led_ws281x: bit: "1" +35246-35296 rgb_led_ws281x: g: "00" +35296-35345 rgb_led_ws281x: r: "00" +35345-35395 rgb_led_ws281x: b: "ff" 35246-35395 rgb_led_ws281x: rgb: "#0000ff" 35395-35401 rgb_led_ws281x: bit: "0" 35401-35407 rgb_led_ws281x: bit: "0" @@ -5147,6 +5762,9 @@ 35524-35530 rgb_led_ws281x: bit: "1" 35530-35536 rgb_led_ws281x: bit: "1" 35536-35543 rgb_led_ws281x: bit: "1" +35395-35444 rgb_led_ws281x: g: "00" +35444-35493 rgb_led_ws281x: r: "00" +35493-35543 rgb_led_ws281x: b: "f7" 35395-35543 rgb_led_ws281x: rgb: "#0000f7" 35543-35549 rgb_led_ws281x: bit: "0" 35549-35555 rgb_led_ws281x: bit: "0" @@ -5172,6 +5790,9 @@ 35672-35678 rgb_led_ws281x: bit: "1" 35678-35684 rgb_led_ws281x: bit: "1" 35684-35691 rgb_led_ws281x: bit: "1" +35543-35592 rgb_led_ws281x: g: "00" +35592-35641 rgb_led_ws281x: r: "00" +35641-35691 rgb_led_ws281x: b: "ef" 35543-35691 rgb_led_ws281x: rgb: "#0000ef" 35691-35697 rgb_led_ws281x: bit: "0" 35697-35703 rgb_led_ws281x: bit: "0" @@ -5197,6 +5818,9 @@ 35820-35826 rgb_led_ws281x: bit: "1" 35826-35832 rgb_led_ws281x: bit: "1" 35832-35839 rgb_led_ws281x: bit: "1" +35691-35740 rgb_led_ws281x: g: "00" +35740-35789 rgb_led_ws281x: r: "00" +35789-35839 rgb_led_ws281x: b: "df" 35691-35839 rgb_led_ws281x: rgb: "#0000df" 35839-35845 rgb_led_ws281x: bit: "0" 35845-35851 rgb_led_ws281x: bit: "0" @@ -5222,6 +5846,9 @@ 35968-35974 rgb_led_ws281x: bit: "1" 35974-35980 rgb_led_ws281x: bit: "1" 35980-35987 rgb_led_ws281x: bit: "1" +35839-35888 rgb_led_ws281x: g: "00" +35888-35937 rgb_led_ws281x: r: "00" +35937-35987 rgb_led_ws281x: b: "bf" 35839-35987 rgb_led_ws281x: rgb: "#0000bf" 35987-35993 rgb_led_ws281x: bit: "0" 35993-35999 rgb_led_ws281x: bit: "0" @@ -5247,6 +5874,9 @@ 36116-36122 rgb_led_ws281x: bit: "1" 36122-36129 rgb_led_ws281x: bit: "1" 36129-36135 rgb_led_ws281x: bit: "0" +35987-36036 rgb_led_ws281x: g: "00" +36036-36086 rgb_led_ws281x: r: "00" +36086-36135 rgb_led_ws281x: b: "7e" 35987-36135 rgb_led_ws281x: rgb: "#00007e" 36135-36141 rgb_led_ws281x: bit: "0" 36141-36147 rgb_led_ws281x: bit: "0" @@ -5272,6 +5902,9 @@ 36264-36271 rgb_led_ws281x: bit: "1" 36271-36277 rgb_led_ws281x: bit: "0" 36277-36283 rgb_led_ws281x: bit: "1" +36135-36184 rgb_led_ws281x: g: "00" +36184-36234 rgb_led_ws281x: r: "00" +36234-36283 rgb_led_ws281x: b: "7d" 36135-36283 rgb_led_ws281x: rgb: "#00007d" 36283-36289 rgb_led_ws281x: bit: "0" 36289-36296 rgb_led_ws281x: bit: "0" @@ -5297,6 +5930,9 @@ 36412-36419 rgb_led_ws281x: bit: "1" 36419-36425 rgb_led_ws281x: bit: "1" 36425-36431 rgb_led_ws281x: bit: "1" +36283-36333 rgb_led_ws281x: g: "00" +36333-36382 rgb_led_ws281x: r: "00" +36382-36431 rgb_led_ws281x: b: "ff" 36283-36431 rgb_led_ws281x: rgb: "#0000ff" 36431-36438 rgb_led_ws281x: bit: "0" 36438-36444 rgb_led_ws281x: bit: "0" @@ -5322,6 +5958,9 @@ 36561-36567 rgb_led_ws281x: bit: "1" 36567-36573 rgb_led_ws281x: bit: "1" 36573-36580 rgb_led_ws281x: bit: "1" +36431-36481 rgb_led_ws281x: g: "00" +36481-36530 rgb_led_ws281x: r: "00" +36530-36580 rgb_led_ws281x: b: "ff" 36431-36580 rgb_led_ws281x: rgb: "#0000ff" 36580-36586 rgb_led_ws281x: bit: "0" 36586-36592 rgb_led_ws281x: bit: "0" @@ -5347,6 +5986,9 @@ 36709-36715 rgb_led_ws281x: bit: "1" 36715-36721 rgb_led_ws281x: bit: "1" 36721-36728 rgb_led_ws281x: bit: "1" +36580-36629 rgb_led_ws281x: g: "00" +36629-36678 rgb_led_ws281x: r: "00" +36678-36728 rgb_led_ws281x: b: "f7" 36580-36728 rgb_led_ws281x: rgb: "#0000f7" 36728-36734 rgb_led_ws281x: bit: "0" 36734-36740 rgb_led_ws281x: bit: "0" @@ -5372,6 +6014,9 @@ 36857-36863 rgb_led_ws281x: bit: "1" 36863-36869 rgb_led_ws281x: bit: "1" 36869-36876 rgb_led_ws281x: bit: "1" +36728-36777 rgb_led_ws281x: g: "00" +36777-36826 rgb_led_ws281x: r: "00" +36826-36876 rgb_led_ws281x: b: "ef" 36728-36876 rgb_led_ws281x: rgb: "#0000ef" 36876-36882 rgb_led_ws281x: bit: "0" 36882-36888 rgb_led_ws281x: bit: "0" @@ -5397,6 +6042,9 @@ 37005-37011 rgb_led_ws281x: bit: "1" 37011-37017 rgb_led_ws281x: bit: "1" 37017-37024 rgb_led_ws281x: bit: "1" +36876-36925 rgb_led_ws281x: g: "00" +36925-36974 rgb_led_ws281x: r: "00" +36974-37024 rgb_led_ws281x: b: "df" 36876-37024 rgb_led_ws281x: rgb: "#0000df" 37024-37030 rgb_led_ws281x: bit: "0" 37030-37036 rgb_led_ws281x: bit: "0" @@ -5422,6 +6070,9 @@ 37153-37159 rgb_led_ws281x: bit: "1" 37159-37165 rgb_led_ws281x: bit: "1" 37165-37172 rgb_led_ws281x: bit: "1" +37024-37073 rgb_led_ws281x: g: "00" +37073-37122 rgb_led_ws281x: r: "00" +37122-37172 rgb_led_ws281x: b: "bf" 37024-37172 rgb_led_ws281x: rgb: "#0000bf" 37172-37178 rgb_led_ws281x: bit: "0" 37178-37184 rgb_led_ws281x: bit: "0" @@ -5447,6 +6098,9 @@ 37301-37307 rgb_led_ws281x: bit: "1" 37307-37314 rgb_led_ws281x: bit: "1" 37314-37320 rgb_led_ws281x: bit: "0" +37172-37221 rgb_led_ws281x: g: "00" +37221-37271 rgb_led_ws281x: r: "00" +37271-37320 rgb_led_ws281x: b: "7e" 37172-37320 rgb_led_ws281x: rgb: "#00007e" 37320-37326 rgb_led_ws281x: bit: "0" 37326-37332 rgb_led_ws281x: bit: "0" @@ -5472,6 +6126,9 @@ 37449-37456 rgb_led_ws281x: bit: "1" 37456-37462 rgb_led_ws281x: bit: "0" 37462-37468 rgb_led_ws281x: bit: "1" +37320-37369 rgb_led_ws281x: g: "00" +37369-37419 rgb_led_ws281x: r: "00" +37419-37468 rgb_led_ws281x: b: "fd" 37320-37468 rgb_led_ws281x: rgb: "#0000fd" 37468-37474 rgb_led_ws281x: bit: "0" 37474-37481 rgb_led_ws281x: bit: "0" @@ -5497,6 +6154,9 @@ 37598-37604 rgb_led_ws281x: bit: "0" 37604-37610 rgb_led_ws281x: bit: "1" 37610-37616 rgb_led_ws281x: bit: "1" +37468-37518 rgb_led_ws281x: g: "00" +37518-37567 rgb_led_ws281x: r: "00" +37567-37616 rgb_led_ws281x: b: "fb" 37468-37616 rgb_led_ws281x: rgb: "#0000fb" 37616-37623 rgb_led_ws281x: bit: "0" 37623-37629 rgb_led_ws281x: bit: "0" @@ -5522,6 +6182,9 @@ 37746-37752 rgb_led_ws281x: bit: "1" 37752-37758 rgb_led_ws281x: bit: "1" 37758-37765 rgb_led_ws281x: bit: "1" +37616-37666 rgb_led_ws281x: g: "00" +37666-37715 rgb_led_ws281x: r: "00" +37715-37765 rgb_led_ws281x: b: "f7" 37616-37765 rgb_led_ws281x: rgb: "#0000f7" 37765-37771 rgb_led_ws281x: bit: "0" 37771-37777 rgb_led_ws281x: bit: "0" @@ -5547,6 +6210,9 @@ 37894-37900 rgb_led_ws281x: bit: "1" 37900-37906 rgb_led_ws281x: bit: "1" 37906-37913 rgb_led_ws281x: bit: "1" +37765-37814 rgb_led_ws281x: g: "00" +37814-37863 rgb_led_ws281x: r: "00" +37863-37913 rgb_led_ws281x: b: "f7" 37765-37913 rgb_led_ws281x: rgb: "#0000f7" 37913-37919 rgb_led_ws281x: bit: "0" 37919-37925 rgb_led_ws281x: bit: "0" @@ -5572,6 +6238,9 @@ 38042-38048 rgb_led_ws281x: bit: "1" 38048-38054 rgb_led_ws281x: bit: "1" 38054-38061 rgb_led_ws281x: bit: "1" +37913-37962 rgb_led_ws281x: g: "00" +37962-38011 rgb_led_ws281x: r: "00" +38011-38061 rgb_led_ws281x: b: "ef" 37913-38061 rgb_led_ws281x: rgb: "#0000ef" 38061-38067 rgb_led_ws281x: bit: "0" 38067-38073 rgb_led_ws281x: bit: "0" @@ -5597,6 +6266,9 @@ 38190-38196 rgb_led_ws281x: bit: "1" 38196-38202 rgb_led_ws281x: bit: "1" 38202-38209 rgb_led_ws281x: bit: "1" +38061-38110 rgb_led_ws281x: g: "00" +38110-38159 rgb_led_ws281x: r: "00" +38159-38209 rgb_led_ws281x: b: "df" 38061-38209 rgb_led_ws281x: rgb: "#0000df" 38209-38215 rgb_led_ws281x: bit: "0" 38215-38221 rgb_led_ws281x: bit: "0" @@ -5622,6 +6294,9 @@ 38338-38344 rgb_led_ws281x: bit: "1" 38344-38350 rgb_led_ws281x: bit: "1" 38350-38357 rgb_led_ws281x: bit: "1" +38209-38258 rgb_led_ws281x: g: "00" +38258-38307 rgb_led_ws281x: r: "00" +38307-38357 rgb_led_ws281x: b: "bf" 38209-38357 rgb_led_ws281x: rgb: "#0000bf" 38357-38363 rgb_led_ws281x: bit: "0" 38363-38369 rgb_led_ws281x: bit: "0" @@ -5647,6 +6322,9 @@ 38486-38492 rgb_led_ws281x: bit: "1" 38492-38499 rgb_led_ws281x: bit: "1" 38499-38505 rgb_led_ws281x: bit: "0" +38357-38406 rgb_led_ws281x: g: "00" +38406-38456 rgb_led_ws281x: r: "00" +38456-38505 rgb_led_ws281x: b: "7e" 38357-38505 rgb_led_ws281x: rgb: "#00007e" 38505-38511 rgb_led_ws281x: bit: "0" 38511-38518 rgb_led_ws281x: bit: "0" @@ -5672,6 +6350,9 @@ 38634-38641 rgb_led_ws281x: bit: "1" 38641-38647 rgb_led_ws281x: bit: "0" 38647-38653 rgb_led_ws281x: bit: "1" +38505-38554 rgb_led_ws281x: g: "00" +38554-38604 rgb_led_ws281x: r: "00" +38604-38653 rgb_led_ws281x: b: "fd" 38505-38653 rgb_led_ws281x: rgb: "#0000fd" 38653-38659 rgb_led_ws281x: bit: "0" 38659-38666 rgb_led_ws281x: bit: "0" @@ -5697,6 +6378,9 @@ 38783-38789 rgb_led_ws281x: bit: "0" 38789-38795 rgb_led_ws281x: bit: "1" 38795-38801 rgb_led_ws281x: bit: "1" +38653-38703 rgb_led_ws281x: g: "00" +38703-38752 rgb_led_ws281x: r: "00" +38752-38801 rgb_led_ws281x: b: "fb" 38653-38801 rgb_led_ws281x: rgb: "#0000fb" 38801-38808 rgb_led_ws281x: bit: "0" 38808-38814 rgb_led_ws281x: bit: "0" @@ -5722,6 +6406,9 @@ 38931-38937 rgb_led_ws281x: bit: "1" 38937-38943 rgb_led_ws281x: bit: "1" 38943-38950 rgb_led_ws281x: bit: "1" +38801-38851 rgb_led_ws281x: g: "00" +38851-38900 rgb_led_ws281x: r: "00" +38900-38950 rgb_led_ws281x: b: "f7" 38801-38950 rgb_led_ws281x: rgb: "#0000f7" 38950-38956 rgb_led_ws281x: bit: "0" 38956-38962 rgb_led_ws281x: bit: "0" @@ -5747,6 +6434,9 @@ 39079-39085 rgb_led_ws281x: bit: "1" 39085-39091 rgb_led_ws281x: bit: "1" 39091-39098 rgb_led_ws281x: bit: "1" +38950-38999 rgb_led_ws281x: g: "00" +38999-39048 rgb_led_ws281x: r: "00" +39048-39098 rgb_led_ws281x: b: "ff" 38950-39098 rgb_led_ws281x: rgb: "#0000ff" 39098-39104 rgb_led_ws281x: bit: "0" 39104-39110 rgb_led_ws281x: bit: "0" @@ -5772,6 +6462,9 @@ 39227-39233 rgb_led_ws281x: bit: "1" 39233-39239 rgb_led_ws281x: bit: "1" 39239-39246 rgb_led_ws281x: bit: "1" +39098-39147 rgb_led_ws281x: g: "00" +39147-39196 rgb_led_ws281x: r: "00" +39196-39246 rgb_led_ws281x: b: "ef" 39098-39246 rgb_led_ws281x: rgb: "#0000ef" 39246-39252 rgb_led_ws281x: bit: "0" 39252-39258 rgb_led_ws281x: bit: "0" @@ -5797,6 +6490,9 @@ 39375-39381 rgb_led_ws281x: bit: "1" 39381-39387 rgb_led_ws281x: bit: "1" 39387-39394 rgb_led_ws281x: bit: "1" +39246-39295 rgb_led_ws281x: g: "00" +39295-39344 rgb_led_ws281x: r: "00" +39344-39394 rgb_led_ws281x: b: "df" 39246-39394 rgb_led_ws281x: rgb: "#0000df" 39394-39400 rgb_led_ws281x: bit: "0" 39400-39406 rgb_led_ws281x: bit: "0" @@ -5822,6 +6518,9 @@ 39523-39529 rgb_led_ws281x: bit: "1" 39529-39535 rgb_led_ws281x: bit: "1" 39535-39542 rgb_led_ws281x: bit: "1" +39394-39443 rgb_led_ws281x: g: "00" +39443-39492 rgb_led_ws281x: r: "00" +39492-39542 rgb_led_ws281x: b: "bf" 39394-39542 rgb_led_ws281x: rgb: "#0000bf" 39542-39548 rgb_led_ws281x: bit: "0" 39548-39554 rgb_led_ws281x: bit: "0" @@ -5847,6 +6546,9 @@ 39671-39677 rgb_led_ws281x: bit: "1" 39677-39684 rgb_led_ws281x: bit: "1" 39684-39690 rgb_led_ws281x: bit: "0" +39542-39591 rgb_led_ws281x: g: "00" +39591-39641 rgb_led_ws281x: r: "00" +39641-39690 rgb_led_ws281x: b: "7e" 39542-39690 rgb_led_ws281x: rgb: "#00007e" 39690-39696 rgb_led_ws281x: bit: "0" 39696-39703 rgb_led_ws281x: bit: "0" @@ -5872,6 +6574,9 @@ 39819-39826 rgb_led_ws281x: bit: "1" 39826-39832 rgb_led_ws281x: bit: "0" 39832-39838 rgb_led_ws281x: bit: "1" +39690-39739 rgb_led_ws281x: g: "00" +39739-39789 rgb_led_ws281x: r: "00" +39789-39838 rgb_led_ws281x: b: "fd" 39690-39838 rgb_led_ws281x: rgb: "#0000fd" 39838-39844 rgb_led_ws281x: bit: "0" 39844-39851 rgb_led_ws281x: bit: "0" @@ -5897,6 +6602,9 @@ 39968-39974 rgb_led_ws281x: bit: "0" 39974-39980 rgb_led_ws281x: bit: "1" 39980-39986 rgb_led_ws281x: bit: "1" +39838-39888 rgb_led_ws281x: g: "00" +39888-39937 rgb_led_ws281x: r: "00" +39937-39986 rgb_led_ws281x: b: "fb" 39838-39986 rgb_led_ws281x: rgb: "#0000fb" 39986-39993 rgb_led_ws281x: bit: "0" 39993-39999 rgb_led_ws281x: bit: "0" @@ -5922,6 +6630,9 @@ 40116-40122 rgb_led_ws281x: bit: "1" 40122-40128 rgb_led_ws281x: bit: "1" 40128-40135 rgb_led_ws281x: bit: "1" +39986-40036 rgb_led_ws281x: g: "00" +40036-40085 rgb_led_ws281x: r: "00" +40085-40135 rgb_led_ws281x: b: "f7" 39986-40135 rgb_led_ws281x: rgb: "#0000f7" 40135-40141 rgb_led_ws281x: bit: "0" 40141-40147 rgb_led_ws281x: bit: "0" @@ -5947,6 +6658,9 @@ 40264-40270 rgb_led_ws281x: bit: "1" 40270-40276 rgb_led_ws281x: bit: "1" 40276-40283 rgb_led_ws281x: bit: "1" +40135-40184 rgb_led_ws281x: g: "00" +40184-40233 rgb_led_ws281x: r: "00" +40233-40283 rgb_led_ws281x: b: "ef" 40135-40283 rgb_led_ws281x: rgb: "#0000ef" 40283-40289 rgb_led_ws281x: bit: "0" 40289-40295 rgb_led_ws281x: bit: "0" @@ -5972,6 +6686,9 @@ 40412-40418 rgb_led_ws281x: bit: "1" 40418-40424 rgb_led_ws281x: bit: "1" 40424-40431 rgb_led_ws281x: bit: "1" +40283-40332 rgb_led_ws281x: g: "00" +40332-40381 rgb_led_ws281x: r: "00" +40381-40431 rgb_led_ws281x: b: "ff" 40283-40431 rgb_led_ws281x: rgb: "#0000ff" 40431-40437 rgb_led_ws281x: bit: "0" 40437-40443 rgb_led_ws281x: bit: "0" @@ -5997,6 +6714,9 @@ 40560-40566 rgb_led_ws281x: bit: "1" 40566-40572 rgb_led_ws281x: bit: "1" 40572-40579 rgb_led_ws281x: bit: "1" +40431-40480 rgb_led_ws281x: g: "00" +40480-40529 rgb_led_ws281x: r: "00" +40529-40579 rgb_led_ws281x: b: "df" 40431-40579 rgb_led_ws281x: rgb: "#0000df" 40579-40585 rgb_led_ws281x: bit: "0" 40585-40591 rgb_led_ws281x: bit: "0" @@ -6022,6 +6742,9 @@ 40708-40714 rgb_led_ws281x: bit: "1" 40714-40721 rgb_led_ws281x: bit: "1" 40721-40727 rgb_led_ws281x: bit: "0" +40579-40628 rgb_led_ws281x: g: "00" +40628-40677 rgb_led_ws281x: r: "00" +40677-40727 rgb_led_ws281x: b: "be" 40579-40727 rgb_led_ws281x: rgb: "#0000be" 40727-40733 rgb_led_ws281x: bit: "0" 40733-40739 rgb_led_ws281x: bit: "0" @@ -6047,6 +6770,9 @@ 40856-40862 rgb_led_ws281x: bit: "1" 40862-40869 rgb_led_ws281x: bit: "1" 40869-40875 rgb_led_ws281x: bit: "1" +40727-40776 rgb_led_ws281x: g: "00" +40776-40826 rgb_led_ws281x: r: "00" +40826-40875 rgb_led_ws281x: b: "7f" 40727-40875 rgb_led_ws281x: rgb: "#00007f" 40875-40881 rgb_led_ws281x: bit: "0" 40881-40888 rgb_led_ws281x: bit: "0" @@ -6072,6 +6798,9 @@ 41004-41011 rgb_led_ws281x: bit: "1" 41011-41017 rgb_led_ws281x: bit: "0" 41017-41023 rgb_led_ws281x: bit: "1" +40875-40924 rgb_led_ws281x: g: "00" +40924-40974 rgb_led_ws281x: r: "00" +40974-41023 rgb_led_ws281x: b: "fd" 40875-41023 rgb_led_ws281x: rgb: "#0000fd" 41023-41030 rgb_led_ws281x: bit: "0" 41030-41036 rgb_led_ws281x: bit: "0" @@ -6097,6 +6826,9 @@ 41153-41159 rgb_led_ws281x: bit: "0" 41159-41165 rgb_led_ws281x: bit: "1" 41165-41171 rgb_led_ws281x: bit: "1" +41023-41073 rgb_led_ws281x: g: "00" +41073-41122 rgb_led_ws281x: r: "00" +41122-41171 rgb_led_ws281x: b: "fb" 41023-41171 rgb_led_ws281x: rgb: "#0000fb" 41171-41178 rgb_led_ws281x: bit: "0" 41178-41184 rgb_led_ws281x: bit: "0" @@ -6122,6 +6854,9 @@ 41301-41307 rgb_led_ws281x: bit: "1" 41307-41313 rgb_led_ws281x: bit: "1" 41313-41320 rgb_led_ws281x: bit: "1" +41171-41221 rgb_led_ws281x: g: "00" +41221-41270 rgb_led_ws281x: r: "00" +41270-41320 rgb_led_ws281x: b: "f7" 41171-41320 rgb_led_ws281x: rgb: "#0000f7" 41320-41326 rgb_led_ws281x: bit: "0" 41326-41332 rgb_led_ws281x: bit: "0" @@ -6147,6 +6882,9 @@ 41449-41455 rgb_led_ws281x: bit: "1" 41455-41461 rgb_led_ws281x: bit: "1" 41461-41468 rgb_led_ws281x: bit: "1" +41320-41369 rgb_led_ws281x: g: "00" +41369-41418 rgb_led_ws281x: r: "00" +41418-41468 rgb_led_ws281x: b: "ef" 41320-41468 rgb_led_ws281x: rgb: "#0000ef" 41468-41474 rgb_led_ws281x: bit: "0" 41474-41480 rgb_led_ws281x: bit: "0" @@ -6172,6 +6910,9 @@ 41597-41603 rgb_led_ws281x: bit: "1" 41603-41609 rgb_led_ws281x: bit: "1" 41609-41616 rgb_led_ws281x: bit: "1" +41468-41517 rgb_led_ws281x: g: "00" +41517-41566 rgb_led_ws281x: r: "00" +41566-41616 rgb_led_ws281x: b: "ff" 41468-41616 rgb_led_ws281x: rgb: "#0000ff" 41616-41622 rgb_led_ws281x: bit: "0" 41622-41628 rgb_led_ws281x: bit: "0" @@ -6197,6 +6938,9 @@ 41745-41751 rgb_led_ws281x: bit: "1" 41751-41757 rgb_led_ws281x: bit: "1" 41757-41764 rgb_led_ws281x: bit: "1" +41616-41665 rgb_led_ws281x: g: "00" +41665-41714 rgb_led_ws281x: r: "00" +41714-41764 rgb_led_ws281x: b: "df" 41616-41764 rgb_led_ws281x: rgb: "#0000df" 41764-41770 rgb_led_ws281x: bit: "0" 41770-41776 rgb_led_ws281x: bit: "0" @@ -6222,6 +6966,9 @@ 41893-41899 rgb_led_ws281x: bit: "1" 41899-41906 rgb_led_ws281x: bit: "1" 41906-41912 rgb_led_ws281x: bit: "0" +41764-41813 rgb_led_ws281x: g: "00" +41813-41862 rgb_led_ws281x: r: "00" +41862-41912 rgb_led_ws281x: b: "be" 41764-41912 rgb_led_ws281x: rgb: "#0000be" 41912-41918 rgb_led_ws281x: bit: "0" 41918-41924 rgb_led_ws281x: bit: "0" @@ -6247,6 +6994,9 @@ 42041-42048 rgb_led_ws281x: bit: "1" 42048-42054 rgb_led_ws281x: bit: "0" 42054-42060 rgb_led_ws281x: bit: "1" +41912-41961 rgb_led_ws281x: g: "00" +41961-42011 rgb_led_ws281x: r: "00" +42011-42060 rgb_led_ws281x: b: "7d" 41912-42060 rgb_led_ws281x: rgb: "#00007d" 42060-42066 rgb_led_ws281x: bit: "0" 42066-42073 rgb_led_ws281x: bit: "0" @@ -6272,6 +7022,9 @@ 42189-42196 rgb_led_ws281x: bit: "1" 42196-42202 rgb_led_ws281x: bit: "0" 42202-42208 rgb_led_ws281x: bit: "1" +42060-42109 rgb_led_ws281x: g: "00" +42109-42159 rgb_led_ws281x: r: "00" +42159-42208 rgb_led_ws281x: b: "fd" 42060-42208 rgb_led_ws281x: rgb: "#0000fd" 42208-42215 rgb_led_ws281x: bit: "0" 42215-42221 rgb_led_ws281x: bit: "0" @@ -6297,6 +7050,9 @@ 42338-42344 rgb_led_ws281x: bit: "0" 42344-42350 rgb_led_ws281x: bit: "1" 42350-42357 rgb_led_ws281x: bit: "1" +42208-42258 rgb_led_ws281x: g: "00" +42258-42307 rgb_led_ws281x: r: "00" +42307-42357 rgb_led_ws281x: b: "fb" 42208-42357 rgb_led_ws281x: rgb: "#0000fb" 42357-42363 rgb_led_ws281x: bit: "0" 42363-42369 rgb_led_ws281x: bit: "0" @@ -6322,6 +7078,9 @@ 42486-42492 rgb_led_ws281x: bit: "1" 42492-42498 rgb_led_ws281x: bit: "1" 42498-42505 rgb_led_ws281x: bit: "1" +42357-42406 rgb_led_ws281x: g: "00" +42406-42455 rgb_led_ws281x: r: "00" +42455-42505 rgb_led_ws281x: b: "f7" 42357-42505 rgb_led_ws281x: rgb: "#0000f7" 42505-42511 rgb_led_ws281x: bit: "0" 42511-42517 rgb_led_ws281x: bit: "0" @@ -6347,6 +7106,9 @@ 42634-42640 rgb_led_ws281x: bit: "1" 42640-42646 rgb_led_ws281x: bit: "1" 42646-42653 rgb_led_ws281x: bit: "1" +42505-42554 rgb_led_ws281x: g: "00" +42554-42603 rgb_led_ws281x: r: "00" +42603-42653 rgb_led_ws281x: b: "ef" 42505-42653 rgb_led_ws281x: rgb: "#0000ef" 42653-42659 rgb_led_ws281x: bit: "0" 42659-42665 rgb_led_ws281x: bit: "0" @@ -6371,6 +7133,9 @@ 42776-42782 rgb_led_ws281x: bit: "1" 42782-42788 rgb_led_ws281x: bit: "1" 42788-42794 rgb_led_ws281x: bit: "1" +42653-42702 rgb_led_ws281x: g: "00" +42702-42751 rgb_led_ws281x: r: "00" +42751-42798 rgb_led_ws281x: b: "df" 42653-42798 rgb_led_ws281x: rgb: "#0000df" 42794-42798 rgb_led_ws281x: bit: "1" 42798-43049 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -6398,6 +7163,9 @@ 54722-54728 rgb_led_ws281x: bit: "1" 54728-54734 rgb_led_ws281x: bit: "1" 54734-54741 rgb_led_ws281x: bit: "1" +54593-54642 rgb_led_ws281x: g: "00" +54642-54691 rgb_led_ws281x: r: "00" +54691-54741 rgb_led_ws281x: b: "ff" 54593-54741 rgb_led_ws281x: rgb: "#0000ff" 54741-54747 rgb_led_ws281x: bit: "0" 54747-54753 rgb_led_ws281x: bit: "0" @@ -6423,6 +7191,9 @@ 54870-54876 rgb_led_ws281x: bit: "1" 54876-54882 rgb_led_ws281x: bit: "1" 54882-54889 rgb_led_ws281x: bit: "1" +54741-54790 rgb_led_ws281x: g: "00" +54790-54839 rgb_led_ws281x: r: "00" +54839-54889 rgb_led_ws281x: b: "ef" 54741-54889 rgb_led_ws281x: rgb: "#0000ef" 54889-54895 rgb_led_ws281x: bit: "0" 54895-54901 rgb_led_ws281x: bit: "0" @@ -6448,6 +7219,9 @@ 55018-55024 rgb_led_ws281x: bit: "1" 55024-55030 rgb_led_ws281x: bit: "1" 55030-55037 rgb_led_ws281x: bit: "1" +54889-54938 rgb_led_ws281x: g: "00" +54938-54987 rgb_led_ws281x: r: "00" +54987-55037 rgb_led_ws281x: b: "df" 54889-55037 rgb_led_ws281x: rgb: "#0000df" 55037-55043 rgb_led_ws281x: bit: "0" 55043-55049 rgb_led_ws281x: bit: "0" @@ -6473,6 +7247,9 @@ 55166-55172 rgb_led_ws281x: bit: "1" 55172-55178 rgb_led_ws281x: bit: "1" 55178-55185 rgb_led_ws281x: bit: "1" +55037-55086 rgb_led_ws281x: g: "00" +55086-55135 rgb_led_ws281x: r: "00" +55135-55185 rgb_led_ws281x: b: "bf" 55037-55185 rgb_led_ws281x: rgb: "#0000bf" 55185-55191 rgb_led_ws281x: bit: "0" 55191-55197 rgb_led_ws281x: bit: "0" @@ -6498,6 +7275,9 @@ 55314-55320 rgb_led_ws281x: bit: "1" 55320-55327 rgb_led_ws281x: bit: "1" 55327-55333 rgb_led_ws281x: bit: "0" +55185-55234 rgb_led_ws281x: g: "00" +55234-55284 rgb_led_ws281x: r: "00" +55284-55333 rgb_led_ws281x: b: "7e" 55185-55333 rgb_led_ws281x: rgb: "#00007e" 55333-55339 rgb_led_ws281x: bit: "0" 55339-55346 rgb_led_ws281x: bit: "0" @@ -6523,6 +7303,9 @@ 55462-55469 rgb_led_ws281x: bit: "1" 55469-55475 rgb_led_ws281x: bit: "0" 55475-55481 rgb_led_ws281x: bit: "1" +55333-55382 rgb_led_ws281x: g: "00" +55382-55432 rgb_led_ws281x: r: "00" +55432-55481 rgb_led_ws281x: b: "fd" 55333-55481 rgb_led_ws281x: rgb: "#0000fd" 55481-55487 rgb_led_ws281x: bit: "0" 55487-55494 rgb_led_ws281x: bit: "0" @@ -6548,6 +7331,9 @@ 55611-55617 rgb_led_ws281x: bit: "0" 55617-55623 rgb_led_ws281x: bit: "1" 55623-55629 rgb_led_ws281x: bit: "1" +55481-55531 rgb_led_ws281x: g: "00" +55531-55580 rgb_led_ws281x: r: "00" +55580-55629 rgb_led_ws281x: b: "fb" 55481-55629 rgb_led_ws281x: rgb: "#0000fb" 55629-55636 rgb_led_ws281x: bit: "0" 55636-55642 rgb_led_ws281x: bit: "0" @@ -6573,6 +7359,9 @@ 55759-55765 rgb_led_ws281x: bit: "1" 55765-55771 rgb_led_ws281x: bit: "1" 55771-55778 rgb_led_ws281x: bit: "1" +55629-55679 rgb_led_ws281x: g: "00" +55679-55728 rgb_led_ws281x: r: "00" +55728-55778 rgb_led_ws281x: b: "f7" 55629-55778 rgb_led_ws281x: rgb: "#0000f7" 55778-55784 rgb_led_ws281x: bit: "0" 55784-55790 rgb_led_ws281x: bit: "0" @@ -6598,6 +7387,9 @@ 55907-55913 rgb_led_ws281x: bit: "1" 55913-55919 rgb_led_ws281x: bit: "1" 55919-55926 rgb_led_ws281x: bit: "1" +55778-55827 rgb_led_ws281x: g: "00" +55827-55876 rgb_led_ws281x: r: "00" +55876-55926 rgb_led_ws281x: b: "ff" 55778-55926 rgb_led_ws281x: rgb: "#0000ff" 55926-55932 rgb_led_ws281x: bit: "0" 55932-55938 rgb_led_ws281x: bit: "0" @@ -6623,6 +7415,9 @@ 56055-56061 rgb_led_ws281x: bit: "1" 56061-56067 rgb_led_ws281x: bit: "1" 56067-56074 rgb_led_ws281x: bit: "1" +55926-55975 rgb_led_ws281x: g: "00" +55975-56024 rgb_led_ws281x: r: "00" +56024-56074 rgb_led_ws281x: b: "ef" 55926-56074 rgb_led_ws281x: rgb: "#0000ef" 56074-56080 rgb_led_ws281x: bit: "0" 56080-56086 rgb_led_ws281x: bit: "0" @@ -6648,6 +7443,9 @@ 56203-56209 rgb_led_ws281x: bit: "1" 56209-56215 rgb_led_ws281x: bit: "1" 56215-56222 rgb_led_ws281x: bit: "1" +56074-56123 rgb_led_ws281x: g: "00" +56123-56172 rgb_led_ws281x: r: "00" +56172-56222 rgb_led_ws281x: b: "df" 56074-56222 rgb_led_ws281x: rgb: "#0000df" 56222-56228 rgb_led_ws281x: bit: "0" 56228-56234 rgb_led_ws281x: bit: "0" @@ -6673,6 +7471,9 @@ 56351-56357 rgb_led_ws281x: bit: "1" 56357-56363 rgb_led_ws281x: bit: "1" 56363-56370 rgb_led_ws281x: bit: "1" +56222-56271 rgb_led_ws281x: g: "00" +56271-56320 rgb_led_ws281x: r: "00" +56320-56370 rgb_led_ws281x: b: "bf" 56222-56370 rgb_led_ws281x: rgb: "#0000bf" 56370-56376 rgb_led_ws281x: bit: "0" 56376-56382 rgb_led_ws281x: bit: "0" @@ -6698,6 +7499,9 @@ 56499-56505 rgb_led_ws281x: bit: "1" 56505-56512 rgb_led_ws281x: bit: "1" 56512-56518 rgb_led_ws281x: bit: "1" +56370-56419 rgb_led_ws281x: g: "00" +56419-56469 rgb_led_ws281x: r: "00" +56469-56518 rgb_led_ws281x: b: "7f" 56370-56518 rgb_led_ws281x: rgb: "#00007f" 56518-56524 rgb_led_ws281x: bit: "0" 56524-56531 rgb_led_ws281x: bit: "0" @@ -6723,6 +7527,9 @@ 56647-56654 rgb_led_ws281x: bit: "1" 56654-56660 rgb_led_ws281x: bit: "0" 56660-56666 rgb_led_ws281x: bit: "1" +56518-56567 rgb_led_ws281x: g: "00" +56567-56617 rgb_led_ws281x: r: "00" +56617-56666 rgb_led_ws281x: b: "fd" 56518-56666 rgb_led_ws281x: rgb: "#0000fd" 56666-56673 rgb_led_ws281x: bit: "0" 56673-56679 rgb_led_ws281x: bit: "0" @@ -6748,6 +7555,9 @@ 56796-56802 rgb_led_ws281x: bit: "0" 56802-56808 rgb_led_ws281x: bit: "1" 56808-56814 rgb_led_ws281x: bit: "1" +56666-56716 rgb_led_ws281x: g: "00" +56716-56765 rgb_led_ws281x: r: "00" +56765-56814 rgb_led_ws281x: b: "fb" 56666-56814 rgb_led_ws281x: rgb: "#0000fb" 56814-56821 rgb_led_ws281x: bit: "0" 56821-56827 rgb_led_ws281x: bit: "0" @@ -6773,6 +7583,9 @@ 56944-56950 rgb_led_ws281x: bit: "1" 56950-56956 rgb_led_ws281x: bit: "1" 56956-56963 rgb_led_ws281x: bit: "1" +56814-56864 rgb_led_ws281x: g: "00" +56864-56913 rgb_led_ws281x: r: "00" +56913-56963 rgb_led_ws281x: b: "f7" 56814-56963 rgb_led_ws281x: rgb: "#0000f7" 56963-56969 rgb_led_ws281x: bit: "0" 56969-56975 rgb_led_ws281x: bit: "0" @@ -6798,6 +7611,9 @@ 57092-57098 rgb_led_ws281x: bit: "1" 57098-57104 rgb_led_ws281x: bit: "1" 57104-57111 rgb_led_ws281x: bit: "1" +56963-57012 rgb_led_ws281x: g: "00" +57012-57061 rgb_led_ws281x: r: "00" +57061-57111 rgb_led_ws281x: b: "ef" 56963-57111 rgb_led_ws281x: rgb: "#0000ef" 57111-57117 rgb_led_ws281x: bit: "0" 57117-57123 rgb_led_ws281x: bit: "0" @@ -6823,6 +7639,9 @@ 57240-57246 rgb_led_ws281x: bit: "1" 57246-57252 rgb_led_ws281x: bit: "1" 57252-57259 rgb_led_ws281x: bit: "1" +57111-57160 rgb_led_ws281x: g: "00" +57160-57209 rgb_led_ws281x: r: "00" +57209-57259 rgb_led_ws281x: b: "ff" 57111-57259 rgb_led_ws281x: rgb: "#0000ff" 57259-57265 rgb_led_ws281x: bit: "0" 57265-57271 rgb_led_ws281x: bit: "0" @@ -6848,6 +7667,9 @@ 57388-57394 rgb_led_ws281x: bit: "1" 57394-57400 rgb_led_ws281x: bit: "1" 57400-57407 rgb_led_ws281x: bit: "1" +57259-57308 rgb_led_ws281x: g: "00" +57308-57357 rgb_led_ws281x: r: "00" +57357-57407 rgb_led_ws281x: b: "df" 57259-57407 rgb_led_ws281x: rgb: "#0000df" 57407-57413 rgb_led_ws281x: bit: "0" 57413-57419 rgb_led_ws281x: bit: "0" @@ -6873,6 +7695,9 @@ 57536-57542 rgb_led_ws281x: bit: "1" 57542-57549 rgb_led_ws281x: bit: "1" 57549-57555 rgb_led_ws281x: bit: "0" +57407-57456 rgb_led_ws281x: g: "00" +57456-57505 rgb_led_ws281x: r: "00" +57505-57555 rgb_led_ws281x: b: "be" 57407-57555 rgb_led_ws281x: rgb: "#0000be" 57555-57561 rgb_led_ws281x: bit: "0" 57561-57567 rgb_led_ws281x: bit: "0" @@ -6898,6 +7723,9 @@ 57684-57690 rgb_led_ws281x: bit: "1" 57690-57697 rgb_led_ws281x: bit: "1" 57697-57703 rgb_led_ws281x: bit: "1" +57555-57604 rgb_led_ws281x: g: "00" +57604-57654 rgb_led_ws281x: r: "00" +57654-57703 rgb_led_ws281x: b: "7f" 57555-57703 rgb_led_ws281x: rgb: "#00007f" 57703-57709 rgb_led_ws281x: bit: "0" 57709-57716 rgb_led_ws281x: bit: "0" @@ -6923,6 +7751,9 @@ 57832-57839 rgb_led_ws281x: bit: "1" 57839-57845 rgb_led_ws281x: bit: "1" 57845-57851 rgb_led_ws281x: bit: "1" +57703-57752 rgb_led_ws281x: g: "00" +57752-57802 rgb_led_ws281x: r: "00" +57802-57851 rgb_led_ws281x: b: "ff" 57703-57851 rgb_led_ws281x: rgb: "#0000ff" 57851-57858 rgb_led_ws281x: bit: "0" 57858-57864 rgb_led_ws281x: bit: "0" @@ -6948,6 +7779,9 @@ 57981-57987 rgb_led_ws281x: bit: "0" 57987-57993 rgb_led_ws281x: bit: "1" 57993-58000 rgb_led_ws281x: bit: "1" +57851-57901 rgb_led_ws281x: g: "00" +57901-57950 rgb_led_ws281x: r: "00" +57950-58000 rgb_led_ws281x: b: "fb" 57851-58000 rgb_led_ws281x: rgb: "#0000fb" 58000-58006 rgb_led_ws281x: bit: "0" 58006-58012 rgb_led_ws281x: bit: "0" @@ -6973,6 +7807,9 @@ 58129-58135 rgb_led_ws281x: bit: "1" 58135-58141 rgb_led_ws281x: bit: "1" 58141-58148 rgb_led_ws281x: bit: "1" +58000-58049 rgb_led_ws281x: g: "00" +58049-58098 rgb_led_ws281x: r: "00" +58098-58148 rgb_led_ws281x: b: "f7" 58000-58148 rgb_led_ws281x: rgb: "#0000f7" 58148-58154 rgb_led_ws281x: bit: "0" 58154-58160 rgb_led_ws281x: bit: "0" @@ -6998,6 +7835,9 @@ 58277-58283 rgb_led_ws281x: bit: "1" 58283-58289 rgb_led_ws281x: bit: "1" 58289-58296 rgb_led_ws281x: bit: "1" +58148-58197 rgb_led_ws281x: g: "00" +58197-58246 rgb_led_ws281x: r: "00" +58246-58296 rgb_led_ws281x: b: "ef" 58148-58296 rgb_led_ws281x: rgb: "#0000ef" 58296-58302 rgb_led_ws281x: bit: "0" 58302-58308 rgb_led_ws281x: bit: "0" @@ -7023,6 +7863,9 @@ 58425-58431 rgb_led_ws281x: bit: "1" 58431-58437 rgb_led_ws281x: bit: "1" 58437-58444 rgb_led_ws281x: bit: "1" +58296-58345 rgb_led_ws281x: g: "00" +58345-58394 rgb_led_ws281x: r: "00" +58394-58444 rgb_led_ws281x: b: "df" 58296-58444 rgb_led_ws281x: rgb: "#0000df" 58444-58450 rgb_led_ws281x: bit: "0" 58450-58456 rgb_led_ws281x: bit: "0" @@ -7048,6 +7891,9 @@ 58573-58579 rgb_led_ws281x: bit: "1" 58579-58585 rgb_led_ws281x: bit: "1" 58585-58592 rgb_led_ws281x: bit: "1" +58444-58493 rgb_led_ws281x: g: "00" +58493-58542 rgb_led_ws281x: r: "00" +58542-58592 rgb_led_ws281x: b: "df" 58444-58592 rgb_led_ws281x: rgb: "#0000df" 58592-58598 rgb_led_ws281x: bit: "0" 58598-58604 rgb_led_ws281x: bit: "0" @@ -7073,6 +7919,9 @@ 58721-58727 rgb_led_ws281x: bit: "1" 58727-58734 rgb_led_ws281x: bit: "1" 58734-58740 rgb_led_ws281x: bit: "0" +58592-58641 rgb_led_ws281x: g: "00" +58641-58690 rgb_led_ws281x: r: "00" +58690-58740 rgb_led_ws281x: b: "be" 58592-58740 rgb_led_ws281x: rgb: "#0000be" 58740-58746 rgb_led_ws281x: bit: "0" 58746-58752 rgb_led_ws281x: bit: "0" @@ -7098,6 +7947,9 @@ 58869-58876 rgb_led_ws281x: bit: "1" 58876-58882 rgb_led_ws281x: bit: "0" 58882-58888 rgb_led_ws281x: bit: "1" +58740-58789 rgb_led_ws281x: g: "00" +58789-58839 rgb_led_ws281x: r: "00" +58839-58888 rgb_led_ws281x: b: "7d" 58740-58888 rgb_led_ws281x: rgb: "#00007d" 58888-58894 rgb_led_ws281x: bit: "0" 58894-58901 rgb_led_ws281x: bit: "0" @@ -7123,6 +7975,9 @@ 59017-59024 rgb_led_ws281x: bit: "1" 59024-59030 rgb_led_ws281x: bit: "1" 59030-59036 rgb_led_ws281x: bit: "1" +58888-58937 rgb_led_ws281x: g: "00" +58937-58987 rgb_led_ws281x: r: "00" +58987-59036 rgb_led_ws281x: b: "ff" 58888-59036 rgb_led_ws281x: rgb: "#0000ff" 59036-59043 rgb_led_ws281x: bit: "0" 59043-59049 rgb_led_ws281x: bit: "0" @@ -7148,6 +8003,9 @@ 59166-59172 rgb_led_ws281x: bit: "0" 59172-59178 rgb_led_ws281x: bit: "1" 59178-59185 rgb_led_ws281x: bit: "1" +59036-59086 rgb_led_ws281x: g: "00" +59086-59135 rgb_led_ws281x: r: "00" +59135-59185 rgb_led_ws281x: b: "fb" 59036-59185 rgb_led_ws281x: rgb: "#0000fb" 59185-59191 rgb_led_ws281x: bit: "0" 59191-59197 rgb_led_ws281x: bit: "0" @@ -7173,6 +8031,9 @@ 59314-59320 rgb_led_ws281x: bit: "1" 59320-59326 rgb_led_ws281x: bit: "1" 59326-59333 rgb_led_ws281x: bit: "1" +59185-59234 rgb_led_ws281x: g: "00" +59234-59283 rgb_led_ws281x: r: "00" +59283-59333 rgb_led_ws281x: b: "f7" 59185-59333 rgb_led_ws281x: rgb: "#0000f7" 59333-59339 rgb_led_ws281x: bit: "0" 59339-59345 rgb_led_ws281x: bit: "0" @@ -7198,6 +8059,9 @@ 59462-59468 rgb_led_ws281x: bit: "1" 59468-59474 rgb_led_ws281x: bit: "1" 59474-59481 rgb_led_ws281x: bit: "1" +59333-59382 rgb_led_ws281x: g: "00" +59382-59431 rgb_led_ws281x: r: "00" +59431-59481 rgb_led_ws281x: b: "ef" 59333-59481 rgb_led_ws281x: rgb: "#0000ef" 59481-59487 rgb_led_ws281x: bit: "0" 59487-59493 rgb_led_ws281x: bit: "0" @@ -7223,6 +8087,9 @@ 59610-59616 rgb_led_ws281x: bit: "1" 59616-59622 rgb_led_ws281x: bit: "1" 59622-59629 rgb_led_ws281x: bit: "1" +59481-59530 rgb_led_ws281x: g: "00" +59530-59579 rgb_led_ws281x: r: "00" +59579-59629 rgb_led_ws281x: b: "df" 59481-59629 rgb_led_ws281x: rgb: "#0000df" 59629-59635 rgb_led_ws281x: bit: "0" 59635-59641 rgb_led_ws281x: bit: "0" @@ -7248,6 +8115,9 @@ 59758-59764 rgb_led_ws281x: bit: "1" 59764-59770 rgb_led_ws281x: bit: "1" 59770-59777 rgb_led_ws281x: bit: "1" +59629-59678 rgb_led_ws281x: g: "00" +59678-59727 rgb_led_ws281x: r: "00" +59727-59777 rgb_led_ws281x: b: "ff" 59629-59777 rgb_led_ws281x: rgb: "#0000ff" 59777-59783 rgb_led_ws281x: bit: "0" 59783-59789 rgb_led_ws281x: bit: "0" @@ -7273,6 +8143,9 @@ 59906-59912 rgb_led_ws281x: bit: "1" 59912-59919 rgb_led_ws281x: bit: "1" 59919-59925 rgb_led_ws281x: bit: "0" +59777-59826 rgb_led_ws281x: g: "00" +59826-59875 rgb_led_ws281x: r: "00" +59875-59925 rgb_led_ws281x: b: "be" 59777-59925 rgb_led_ws281x: rgb: "#0000be" 59925-59931 rgb_led_ws281x: bit: "0" 59931-59937 rgb_led_ws281x: bit: "0" @@ -7298,6 +8171,9 @@ 60054-60061 rgb_led_ws281x: bit: "1" 60061-60067 rgb_led_ws281x: bit: "0" 60067-60073 rgb_led_ws281x: bit: "1" +59925-59974 rgb_led_ws281x: g: "00" +59974-60024 rgb_led_ws281x: r: "00" +60024-60073 rgb_led_ws281x: b: "7d" 59925-60073 rgb_led_ws281x: rgb: "#00007d" 60073-60079 rgb_led_ws281x: bit: "0" 60079-60086 rgb_led_ws281x: bit: "0" @@ -7323,6 +8199,9 @@ 60202-60209 rgb_led_ws281x: bit: "1" 60209-60215 rgb_led_ws281x: bit: "1" 60215-60221 rgb_led_ws281x: bit: "1" +60073-60123 rgb_led_ws281x: g: "00" +60123-60172 rgb_led_ws281x: r: "00" +60172-60221 rgb_led_ws281x: b: "ff" 60073-60221 rgb_led_ws281x: rgb: "#0000ff" 60221-60228 rgb_led_ws281x: bit: "0" 60228-60234 rgb_led_ws281x: bit: "0" @@ -7348,6 +8227,9 @@ 60351-60357 rgb_led_ws281x: bit: "1" 60357-60363 rgb_led_ws281x: bit: "1" 60363-60370 rgb_led_ws281x: bit: "1" +60221-60271 rgb_led_ws281x: g: "00" +60271-60320 rgb_led_ws281x: r: "00" +60320-60370 rgb_led_ws281x: b: "ff" 60221-60370 rgb_led_ws281x: rgb: "#0000ff" 60370-60376 rgb_led_ws281x: bit: "0" 60376-60382 rgb_led_ws281x: bit: "0" @@ -7373,6 +8255,9 @@ 60499-60505 rgb_led_ws281x: bit: "1" 60505-60511 rgb_led_ws281x: bit: "1" 60511-60518 rgb_led_ws281x: bit: "1" +60370-60419 rgb_led_ws281x: g: "00" +60419-60468 rgb_led_ws281x: r: "00" +60468-60518 rgb_led_ws281x: b: "f7" 60370-60518 rgb_led_ws281x: rgb: "#0000f7" 60518-60524 rgb_led_ws281x: bit: "0" 60524-60530 rgb_led_ws281x: bit: "0" @@ -7398,6 +8283,9 @@ 60647-60653 rgb_led_ws281x: bit: "1" 60653-60659 rgb_led_ws281x: bit: "1" 60659-60666 rgb_led_ws281x: bit: "1" +60518-60567 rgb_led_ws281x: g: "00" +60567-60616 rgb_led_ws281x: r: "00" +60616-60666 rgb_led_ws281x: b: "ef" 60518-60666 rgb_led_ws281x: rgb: "#0000ef" 60666-60672 rgb_led_ws281x: bit: "0" 60672-60678 rgb_led_ws281x: bit: "0" @@ -7423,6 +8311,9 @@ 60795-60801 rgb_led_ws281x: bit: "1" 60801-60807 rgb_led_ws281x: bit: "1" 60807-60814 rgb_led_ws281x: bit: "1" +60666-60715 rgb_led_ws281x: g: "00" +60715-60764 rgb_led_ws281x: r: "00" +60764-60814 rgb_led_ws281x: b: "df" 60666-60814 rgb_led_ws281x: rgb: "#0000df" 60814-60820 rgb_led_ws281x: bit: "0" 60820-60826 rgb_led_ws281x: bit: "0" @@ -7448,6 +8339,9 @@ 60943-60949 rgb_led_ws281x: bit: "1" 60949-60955 rgb_led_ws281x: bit: "1" 60955-60962 rgb_led_ws281x: bit: "1" +60814-60863 rgb_led_ws281x: g: "00" +60863-60912 rgb_led_ws281x: r: "00" +60912-60962 rgb_led_ws281x: b: "bf" 60814-60962 rgb_led_ws281x: rgb: "#0000bf" 60962-60968 rgb_led_ws281x: bit: "0" 60968-60974 rgb_led_ws281x: bit: "0" @@ -7473,6 +8367,9 @@ 61091-61097 rgb_led_ws281x: bit: "1" 61097-61104 rgb_led_ws281x: bit: "1" 61104-61110 rgb_led_ws281x: bit: "0" +60962-61011 rgb_led_ws281x: g: "00" +61011-61060 rgb_led_ws281x: r: "00" +61060-61110 rgb_led_ws281x: b: "fe" 60962-61110 rgb_led_ws281x: rgb: "#0000fe" 61110-61116 rgb_led_ws281x: bit: "0" 61116-61122 rgb_led_ws281x: bit: "0" @@ -7498,6 +8395,9 @@ 61239-61246 rgb_led_ws281x: bit: "1" 61246-61252 rgb_led_ws281x: bit: "0" 61252-61258 rgb_led_ws281x: bit: "1" +61110-61159 rgb_led_ws281x: g: "00" +61159-61209 rgb_led_ws281x: r: "00" +61209-61258 rgb_led_ws281x: b: "7d" 61110-61258 rgb_led_ws281x: rgb: "#00007d" 61258-61264 rgb_led_ws281x: bit: "0" 61264-61271 rgb_led_ws281x: bit: "0" @@ -7523,6 +8423,9 @@ 61388-61394 rgb_led_ws281x: bit: "0" 61394-61400 rgb_led_ws281x: bit: "1" 61400-61406 rgb_led_ws281x: bit: "1" +61258-61308 rgb_led_ws281x: g: "00" +61308-61357 rgb_led_ws281x: r: "00" +61357-61406 rgb_led_ws281x: b: "fb" 61258-61406 rgb_led_ws281x: rgb: "#0000fb" 61406-61413 rgb_led_ws281x: bit: "0" 61413-61419 rgb_led_ws281x: bit: "0" @@ -7548,6 +8451,9 @@ 61536-61542 rgb_led_ws281x: bit: "1" 61542-61548 rgb_led_ws281x: bit: "1" 61548-61555 rgb_led_ws281x: bit: "1" +61406-61456 rgb_led_ws281x: g: "00" +61456-61505 rgb_led_ws281x: r: "00" +61505-61555 rgb_led_ws281x: b: "ff" 61406-61555 rgb_led_ws281x: rgb: "#0000ff" 61555-61561 rgb_led_ws281x: bit: "0" 61561-61567 rgb_led_ws281x: bit: "0" @@ -7573,6 +8479,9 @@ 61684-61690 rgb_led_ws281x: bit: "1" 61690-61696 rgb_led_ws281x: bit: "1" 61696-61703 rgb_led_ws281x: bit: "1" +61555-61604 rgb_led_ws281x: g: "00" +61604-61653 rgb_led_ws281x: r: "00" +61653-61703 rgb_led_ws281x: b: "f7" 61555-61703 rgb_led_ws281x: rgb: "#0000f7" 61703-61709 rgb_led_ws281x: bit: "0" 61709-61715 rgb_led_ws281x: bit: "0" @@ -7598,6 +8507,9 @@ 61832-61838 rgb_led_ws281x: bit: "1" 61838-61844 rgb_led_ws281x: bit: "1" 61844-61851 rgb_led_ws281x: bit: "1" +61703-61752 rgb_led_ws281x: g: "00" +61752-61801 rgb_led_ws281x: r: "00" +61801-61851 rgb_led_ws281x: b: "ef" 61703-61851 rgb_led_ws281x: rgb: "#0000ef" 61851-61857 rgb_led_ws281x: bit: "0" 61857-61863 rgb_led_ws281x: bit: "0" @@ -7623,6 +8535,9 @@ 61980-61986 rgb_led_ws281x: bit: "1" 61986-61992 rgb_led_ws281x: bit: "1" 61992-61999 rgb_led_ws281x: bit: "1" +61851-61900 rgb_led_ws281x: g: "00" +61900-61949 rgb_led_ws281x: r: "00" +61949-61999 rgb_led_ws281x: b: "df" 61851-61999 rgb_led_ws281x: rgb: "#0000df" 61999-62005 rgb_led_ws281x: bit: "0" 62005-62011 rgb_led_ws281x: bit: "0" @@ -7648,6 +8563,9 @@ 62128-62134 rgb_led_ws281x: bit: "1" 62134-62140 rgb_led_ws281x: bit: "1" 62140-62147 rgb_led_ws281x: bit: "1" +61999-62048 rgb_led_ws281x: g: "00" +62048-62097 rgb_led_ws281x: r: "00" +62097-62147 rgb_led_ws281x: b: "bf" 61999-62147 rgb_led_ws281x: rgb: "#0000bf" 62147-62153 rgb_led_ws281x: bit: "0" 62153-62159 rgb_led_ws281x: bit: "0" @@ -7673,6 +8591,9 @@ 62276-62282 rgb_led_ws281x: bit: "1" 62282-62289 rgb_led_ws281x: bit: "1" 62289-62295 rgb_led_ws281x: bit: "0" +62147-62196 rgb_led_ws281x: g: "00" +62196-62246 rgb_led_ws281x: r: "00" +62246-62295 rgb_led_ws281x: b: "7e" 62147-62295 rgb_led_ws281x: rgb: "#00007e" 62295-62301 rgb_led_ws281x: bit: "0" 62301-62307 rgb_led_ws281x: bit: "0" @@ -7698,6 +8619,9 @@ 62424-62431 rgb_led_ws281x: bit: "1" 62431-62437 rgb_led_ws281x: bit: "0" 62437-62443 rgb_led_ws281x: bit: "1" +62295-62344 rgb_led_ws281x: g: "00" +62344-62394 rgb_led_ws281x: r: "00" +62394-62443 rgb_led_ws281x: b: "fd" 62295-62443 rgb_led_ws281x: rgb: "#0000fd" 62443-62449 rgb_led_ws281x: bit: "0" 62449-62456 rgb_led_ws281x: bit: "0" @@ -7723,6 +8647,9 @@ 62573-62579 rgb_led_ws281x: bit: "0" 62579-62585 rgb_led_ws281x: bit: "1" 62585-62591 rgb_led_ws281x: bit: "1" +62443-62493 rgb_led_ws281x: g: "00" +62493-62542 rgb_led_ws281x: r: "00" +62542-62591 rgb_led_ws281x: b: "fb" 62443-62591 rgb_led_ws281x: rgb: "#0000fb" 62591-62598 rgb_led_ws281x: bit: "0" 62598-62604 rgb_led_ws281x: bit: "0" @@ -7748,6 +8675,9 @@ 62721-62727 rgb_led_ws281x: bit: "1" 62727-62733 rgb_led_ws281x: bit: "1" 62733-62740 rgb_led_ws281x: bit: "1" +62591-62641 rgb_led_ws281x: g: "00" +62641-62690 rgb_led_ws281x: r: "00" +62690-62740 rgb_led_ws281x: b: "ff" 62591-62740 rgb_led_ws281x: rgb: "#0000ff" 62740-62746 rgb_led_ws281x: bit: "0" 62746-62752 rgb_led_ws281x: bit: "0" @@ -7773,6 +8703,9 @@ 62869-62875 rgb_led_ws281x: bit: "1" 62875-62881 rgb_led_ws281x: bit: "1" 62881-62888 rgb_led_ws281x: bit: "1" +62740-62789 rgb_led_ws281x: g: "00" +62789-62838 rgb_led_ws281x: r: "00" +62838-62888 rgb_led_ws281x: b: "f7" 62740-62888 rgb_led_ws281x: rgb: "#0000f7" 62888-62894 rgb_led_ws281x: bit: "0" 62894-62900 rgb_led_ws281x: bit: "0" @@ -7798,6 +8731,9 @@ 63017-63023 rgb_led_ws281x: bit: "1" 63023-63029 rgb_led_ws281x: bit: "1" 63029-63036 rgb_led_ws281x: bit: "1" +62888-62937 rgb_led_ws281x: g: "00" +62937-62986 rgb_led_ws281x: r: "00" +62986-63036 rgb_led_ws281x: b: "ef" 62888-63036 rgb_led_ws281x: rgb: "#0000ef" 63036-63042 rgb_led_ws281x: bit: "0" 63042-63048 rgb_led_ws281x: bit: "0" @@ -7823,6 +8759,9 @@ 63165-63171 rgb_led_ws281x: bit: "1" 63171-63177 rgb_led_ws281x: bit: "1" 63177-63184 rgb_led_ws281x: bit: "1" +63036-63085 rgb_led_ws281x: g: "00" +63085-63134 rgb_led_ws281x: r: "00" +63134-63184 rgb_led_ws281x: b: "df" 63036-63184 rgb_led_ws281x: rgb: "#0000df" 63184-63190 rgb_led_ws281x: bit: "0" 63190-63196 rgb_led_ws281x: bit: "0" @@ -7848,6 +8787,9 @@ 63313-63319 rgb_led_ws281x: bit: "1" 63319-63325 rgb_led_ws281x: bit: "1" 63325-63332 rgb_led_ws281x: bit: "1" +63184-63233 rgb_led_ws281x: g: "00" +63233-63282 rgb_led_ws281x: r: "00" +63282-63332 rgb_led_ws281x: b: "bf" 63184-63332 rgb_led_ws281x: rgb: "#0000bf" 63332-63338 rgb_led_ws281x: bit: "0" 63338-63344 rgb_led_ws281x: bit: "0" @@ -7873,6 +8815,9 @@ 63461-63467 rgb_led_ws281x: bit: "1" 63467-63474 rgb_led_ws281x: bit: "1" 63474-63480 rgb_led_ws281x: bit: "0" +63332-63381 rgb_led_ws281x: g: "00" +63381-63431 rgb_led_ws281x: r: "00" +63431-63480 rgb_led_ws281x: b: "7e" 63332-63480 rgb_led_ws281x: rgb: "#00007e" 63480-63486 rgb_led_ws281x: bit: "0" 63486-63492 rgb_led_ws281x: bit: "0" @@ -7898,6 +8843,9 @@ 63609-63616 rgb_led_ws281x: bit: "1" 63616-63622 rgb_led_ws281x: bit: "0" 63622-63628 rgb_led_ws281x: bit: "1" +63480-63529 rgb_led_ws281x: g: "00" +63529-63579 rgb_led_ws281x: r: "00" +63579-63628 rgb_led_ws281x: b: "fd" 63480-63628 rgb_led_ws281x: rgb: "#0000fd" 63628-63634 rgb_led_ws281x: bit: "0" 63634-63641 rgb_led_ws281x: bit: "0" @@ -7923,6 +8871,9 @@ 63758-63764 rgb_led_ws281x: bit: "0" 63764-63770 rgb_led_ws281x: bit: "1" 63770-63776 rgb_led_ws281x: bit: "1" +63628-63678 rgb_led_ws281x: g: "00" +63678-63727 rgb_led_ws281x: r: "00" +63727-63776 rgb_led_ws281x: b: "fb" 63628-63776 rgb_led_ws281x: rgb: "#0000fb" 63776-63783 rgb_led_ws281x: bit: "0" 63783-63789 rgb_led_ws281x: bit: "0" @@ -7948,6 +8899,9 @@ 63906-63912 rgb_led_ws281x: bit: "1" 63912-63918 rgb_led_ws281x: bit: "1" 63918-63925 rgb_led_ws281x: bit: "1" +63776-63826 rgb_led_ws281x: g: "00" +63826-63875 rgb_led_ws281x: r: "00" +63875-63925 rgb_led_ws281x: b: "f7" 63776-63925 rgb_led_ws281x: rgb: "#0000f7" 63925-63931 rgb_led_ws281x: bit: "0" 63931-63937 rgb_led_ws281x: bit: "0" @@ -7973,6 +8927,9 @@ 64054-64060 rgb_led_ws281x: bit: "1" 64060-64066 rgb_led_ws281x: bit: "1" 64066-64073 rgb_led_ws281x: bit: "1" +63925-63974 rgb_led_ws281x: g: "00" +63974-64023 rgb_led_ws281x: r: "00" +64023-64073 rgb_led_ws281x: b: "f7" 63925-64073 rgb_led_ws281x: rgb: "#0000f7" 64073-64079 rgb_led_ws281x: bit: "0" 64079-64085 rgb_led_ws281x: bit: "0" @@ -7998,6 +8955,9 @@ 64202-64208 rgb_led_ws281x: bit: "1" 64208-64214 rgb_led_ws281x: bit: "1" 64214-64221 rgb_led_ws281x: bit: "1" +64073-64122 rgb_led_ws281x: g: "00" +64122-64171 rgb_led_ws281x: r: "00" +64171-64221 rgb_led_ws281x: b: "ef" 64073-64221 rgb_led_ws281x: rgb: "#0000ef" 64221-64227 rgb_led_ws281x: bit: "0" 64227-64233 rgb_led_ws281x: bit: "0" @@ -8023,6 +8983,9 @@ 64350-64356 rgb_led_ws281x: bit: "1" 64356-64362 rgb_led_ws281x: bit: "1" 64362-64369 rgb_led_ws281x: bit: "1" +64221-64270 rgb_led_ws281x: g: "00" +64270-64319 rgb_led_ws281x: r: "00" +64319-64369 rgb_led_ws281x: b: "df" 64221-64369 rgb_led_ws281x: rgb: "#0000df" 64369-64375 rgb_led_ws281x: bit: "0" 64375-64381 rgb_led_ws281x: bit: "0" @@ -8048,6 +9011,9 @@ 64498-64504 rgb_led_ws281x: bit: "1" 64504-64510 rgb_led_ws281x: bit: "1" 64510-64517 rgb_led_ws281x: bit: "1" +64369-64418 rgb_led_ws281x: g: "00" +64418-64467 rgb_led_ws281x: r: "00" +64467-64517 rgb_led_ws281x: b: "bf" 64369-64517 rgb_led_ws281x: rgb: "#0000bf" 64517-64523 rgb_led_ws281x: bit: "0" 64523-64529 rgb_led_ws281x: bit: "0" @@ -8073,6 +9039,9 @@ 64646-64652 rgb_led_ws281x: bit: "1" 64652-64659 rgb_led_ws281x: bit: "1" 64659-64665 rgb_led_ws281x: bit: "0" +64517-64566 rgb_led_ws281x: g: "00" +64566-64616 rgb_led_ws281x: r: "00" +64616-64665 rgb_led_ws281x: b: "7e" 64517-64665 rgb_led_ws281x: rgb: "#00007e" 64665-64671 rgb_led_ws281x: bit: "0" 64671-64678 rgb_led_ws281x: bit: "0" @@ -8098,6 +9067,9 @@ 64794-64801 rgb_led_ws281x: bit: "1" 64801-64807 rgb_led_ws281x: bit: "0" 64807-64813 rgb_led_ws281x: bit: "1" +64665-64714 rgb_led_ws281x: g: "00" +64714-64764 rgb_led_ws281x: r: "00" +64764-64813 rgb_led_ws281x: b: "fd" 64665-64813 rgb_led_ws281x: rgb: "#0000fd" 64813-64819 rgb_led_ws281x: bit: "0" 64819-64826 rgb_led_ws281x: bit: "0" @@ -8123,6 +9095,9 @@ 64943-64949 rgb_led_ws281x: bit: "0" 64949-64955 rgb_led_ws281x: bit: "1" 64955-64961 rgb_led_ws281x: bit: "1" +64813-64863 rgb_led_ws281x: g: "00" +64863-64912 rgb_led_ws281x: r: "00" +64912-64961 rgb_led_ws281x: b: "fb" 64813-64961 rgb_led_ws281x: rgb: "#0000fb" 64961-64968 rgb_led_ws281x: bit: "0" 64968-64974 rgb_led_ws281x: bit: "0" @@ -8148,6 +9123,9 @@ 65091-65097 rgb_led_ws281x: bit: "1" 65097-65103 rgb_led_ws281x: bit: "1" 65103-65110 rgb_led_ws281x: bit: "1" +64961-65011 rgb_led_ws281x: g: "00" +65011-65060 rgb_led_ws281x: r: "00" +65060-65110 rgb_led_ws281x: b: "f7" 64961-65110 rgb_led_ws281x: rgb: "#0000f7" 65110-65116 rgb_led_ws281x: bit: "0" 65116-65122 rgb_led_ws281x: bit: "0" @@ -8173,6 +9151,9 @@ 65239-65245 rgb_led_ws281x: bit: "1" 65245-65251 rgb_led_ws281x: bit: "1" 65251-65258 rgb_led_ws281x: bit: "1" +65110-65159 rgb_led_ws281x: g: "00" +65159-65208 rgb_led_ws281x: r: "00" +65208-65258 rgb_led_ws281x: b: "ff" 65110-65258 rgb_led_ws281x: rgb: "#0000ff" 65258-65264 rgb_led_ws281x: bit: "0" 65264-65270 rgb_led_ws281x: bit: "0" @@ -8198,6 +9179,9 @@ 65387-65393 rgb_led_ws281x: bit: "1" 65393-65399 rgb_led_ws281x: bit: "1" 65399-65406 rgb_led_ws281x: bit: "1" +65258-65307 rgb_led_ws281x: g: "00" +65307-65356 rgb_led_ws281x: r: "00" +65356-65406 rgb_led_ws281x: b: "ef" 65258-65406 rgb_led_ws281x: rgb: "#0000ef" 65406-65412 rgb_led_ws281x: bit: "0" 65412-65418 rgb_led_ws281x: bit: "0" @@ -8223,6 +9207,9 @@ 65535-65541 rgb_led_ws281x: bit: "1" 65541-65547 rgb_led_ws281x: bit: "1" 65547-65554 rgb_led_ws281x: bit: "1" +65406-65455 rgb_led_ws281x: g: "00" +65455-65504 rgb_led_ws281x: r: "00" +65504-65554 rgb_led_ws281x: b: "df" 65406-65554 rgb_led_ws281x: rgb: "#0000df" 65554-65560 rgb_led_ws281x: bit: "0" 65560-65566 rgb_led_ws281x: bit: "0" @@ -8248,6 +9235,9 @@ 65683-65689 rgb_led_ws281x: bit: "1" 65689-65695 rgb_led_ws281x: bit: "1" 65695-65702 rgb_led_ws281x: bit: "1" +65554-65603 rgb_led_ws281x: g: "00" +65603-65652 rgb_led_ws281x: r: "00" +65652-65702 rgb_led_ws281x: b: "bf" 65554-65702 rgb_led_ws281x: rgb: "#0000bf" 65702-65708 rgb_led_ws281x: bit: "0" 65708-65714 rgb_led_ws281x: bit: "0" @@ -8273,6 +9263,9 @@ 65831-65837 rgb_led_ws281x: bit: "1" 65837-65844 rgb_led_ws281x: bit: "1" 65844-65850 rgb_led_ws281x: bit: "0" +65702-65751 rgb_led_ws281x: g: "00" +65751-65801 rgb_led_ws281x: r: "00" +65801-65850 rgb_led_ws281x: b: "7e" 65702-65850 rgb_led_ws281x: rgb: "#00007e" 65850-65856 rgb_led_ws281x: bit: "0" 65856-65863 rgb_led_ws281x: bit: "0" @@ -8298,6 +9291,9 @@ 65979-65986 rgb_led_ws281x: bit: "1" 65986-65992 rgb_led_ws281x: bit: "0" 65992-65998 rgb_led_ws281x: bit: "1" +65850-65899 rgb_led_ws281x: g: "00" +65899-65949 rgb_led_ws281x: r: "00" +65949-65998 rgb_led_ws281x: b: "fd" 65850-65998 rgb_led_ws281x: rgb: "#0000fd" 65998-66005 rgb_led_ws281x: bit: "0" 66005-66011 rgb_led_ws281x: bit: "0" @@ -8323,6 +9319,9 @@ 66128-66134 rgb_led_ws281x: bit: "0" 66134-66140 rgb_led_ws281x: bit: "1" 66140-66146 rgb_led_ws281x: bit: "1" +65998-66048 rgb_led_ws281x: g: "00" +66048-66097 rgb_led_ws281x: r: "00" +66097-66146 rgb_led_ws281x: b: "fb" 65998-66146 rgb_led_ws281x: rgb: "#0000fb" 66146-66153 rgb_led_ws281x: bit: "0" 66153-66159 rgb_led_ws281x: bit: "0" @@ -8348,6 +9347,9 @@ 66276-66282 rgb_led_ws281x: bit: "1" 66282-66288 rgb_led_ws281x: bit: "1" 66288-66295 rgb_led_ws281x: bit: "1" +66146-66196 rgb_led_ws281x: g: "00" +66196-66245 rgb_led_ws281x: r: "00" +66245-66295 rgb_led_ws281x: b: "f7" 66146-66295 rgb_led_ws281x: rgb: "#0000f7" 66295-66301 rgb_led_ws281x: bit: "0" 66301-66307 rgb_led_ws281x: bit: "0" @@ -8373,6 +9375,9 @@ 66424-66430 rgb_led_ws281x: bit: "1" 66430-66436 rgb_led_ws281x: bit: "1" 66436-66443 rgb_led_ws281x: bit: "1" +66295-66344 rgb_led_ws281x: g: "00" +66344-66393 rgb_led_ws281x: r: "00" +66393-66443 rgb_led_ws281x: b: "ef" 66295-66443 rgb_led_ws281x: rgb: "#0000ef" 66443-66449 rgb_led_ws281x: bit: "0" 66449-66455 rgb_led_ws281x: bit: "0" @@ -8398,6 +9403,9 @@ 66572-66578 rgb_led_ws281x: bit: "1" 66578-66584 rgb_led_ws281x: bit: "1" 66584-66591 rgb_led_ws281x: bit: "1" +66443-66492 rgb_led_ws281x: g: "00" +66492-66541 rgb_led_ws281x: r: "00" +66541-66591 rgb_led_ws281x: b: "ff" 66443-66591 rgb_led_ws281x: rgb: "#0000ff" 66591-66597 rgb_led_ws281x: bit: "0" 66597-66603 rgb_led_ws281x: bit: "0" @@ -8423,6 +9431,9 @@ 66720-66726 rgb_led_ws281x: bit: "1" 66726-66732 rgb_led_ws281x: bit: "1" 66732-66739 rgb_led_ws281x: bit: "1" +66591-66640 rgb_led_ws281x: g: "00" +66640-66689 rgb_led_ws281x: r: "00" +66689-66739 rgb_led_ws281x: b: "df" 66591-66739 rgb_led_ws281x: rgb: "#0000df" 66739-66745 rgb_led_ws281x: bit: "0" 66745-66751 rgb_led_ws281x: bit: "0" @@ -8448,6 +9459,9 @@ 66868-66874 rgb_led_ws281x: bit: "1" 66874-66881 rgb_led_ws281x: bit: "1" 66881-66887 rgb_led_ws281x: bit: "0" +66739-66788 rgb_led_ws281x: g: "00" +66788-66837 rgb_led_ws281x: r: "00" +66837-66887 rgb_led_ws281x: b: "be" 66739-66887 rgb_led_ws281x: rgb: "#0000be" 66887-66893 rgb_led_ws281x: bit: "0" 66893-66899 rgb_led_ws281x: bit: "0" @@ -8473,6 +9487,9 @@ 67016-67022 rgb_led_ws281x: bit: "1" 67022-67029 rgb_led_ws281x: bit: "1" 67029-67035 rgb_led_ws281x: bit: "1" +66887-66936 rgb_led_ws281x: g: "00" +66936-66986 rgb_led_ws281x: r: "00" +66986-67035 rgb_led_ws281x: b: "7f" 66887-67035 rgb_led_ws281x: rgb: "#00007f" 67035-67041 rgb_led_ws281x: bit: "0" 67041-67048 rgb_led_ws281x: bit: "0" @@ -8498,6 +9515,9 @@ 67164-67171 rgb_led_ws281x: bit: "1" 67171-67177 rgb_led_ws281x: bit: "0" 67177-67183 rgb_led_ws281x: bit: "1" +67035-67084 rgb_led_ws281x: g: "00" +67084-67134 rgb_led_ws281x: r: "00" +67134-67183 rgb_led_ws281x: b: "fd" 67035-67183 rgb_led_ws281x: rgb: "#0000fd" 67183-67190 rgb_led_ws281x: bit: "0" 67190-67196 rgb_led_ws281x: bit: "0" @@ -8523,6 +9543,9 @@ 67313-67319 rgb_led_ws281x: bit: "0" 67319-67325 rgb_led_ws281x: bit: "1" 67325-67331 rgb_led_ws281x: bit: "1" +67183-67233 rgb_led_ws281x: g: "00" +67233-67282 rgb_led_ws281x: r: "00" +67282-67331 rgb_led_ws281x: b: "fb" 67183-67331 rgb_led_ws281x: rgb: "#0000fb" 67331-67338 rgb_led_ws281x: bit: "0" 67338-67344 rgb_led_ws281x: bit: "0" @@ -8548,6 +9571,9 @@ 67461-67467 rgb_led_ws281x: bit: "1" 67467-67473 rgb_led_ws281x: bit: "1" 67473-67480 rgb_led_ws281x: bit: "1" +67331-67381 rgb_led_ws281x: g: "00" +67381-67430 rgb_led_ws281x: r: "00" +67430-67480 rgb_led_ws281x: b: "f7" 67331-67480 rgb_led_ws281x: rgb: "#0000f7" 67480-67486 rgb_led_ws281x: bit: "0" 67486-67492 rgb_led_ws281x: bit: "0" @@ -8573,6 +9599,9 @@ 67609-67615 rgb_led_ws281x: bit: "1" 67615-67621 rgb_led_ws281x: bit: "1" 67621-67628 rgb_led_ws281x: bit: "1" +67480-67529 rgb_led_ws281x: g: "00" +67529-67578 rgb_led_ws281x: r: "00" +67578-67628 rgb_led_ws281x: b: "ef" 67480-67628 rgb_led_ws281x: rgb: "#0000ef" 67628-67634 rgb_led_ws281x: bit: "0" 67634-67640 rgb_led_ws281x: bit: "0" @@ -8598,6 +9627,9 @@ 67757-67763 rgb_led_ws281x: bit: "1" 67763-67769 rgb_led_ws281x: bit: "1" 67769-67776 rgb_led_ws281x: bit: "1" +67628-67677 rgb_led_ws281x: g: "00" +67677-67726 rgb_led_ws281x: r: "00" +67726-67776 rgb_led_ws281x: b: "ff" 67628-67776 rgb_led_ws281x: rgb: "#0000ff" 67776-67782 rgb_led_ws281x: bit: "0" 67782-67788 rgb_led_ws281x: bit: "0" @@ -8623,6 +9655,9 @@ 67905-67911 rgb_led_ws281x: bit: "1" 67911-67917 rgb_led_ws281x: bit: "1" 67917-67924 rgb_led_ws281x: bit: "1" +67776-67825 rgb_led_ws281x: g: "00" +67825-67874 rgb_led_ws281x: r: "00" +67874-67924 rgb_led_ws281x: b: "df" 67776-67924 rgb_led_ws281x: rgb: "#0000df" 67924-67930 rgb_led_ws281x: bit: "0" 67930-67936 rgb_led_ws281x: bit: "0" @@ -8648,6 +9683,9 @@ 68053-68059 rgb_led_ws281x: bit: "1" 68059-68066 rgb_led_ws281x: bit: "1" 68066-68072 rgb_led_ws281x: bit: "0" +67924-67973 rgb_led_ws281x: g: "00" +67973-68022 rgb_led_ws281x: r: "00" +68022-68072 rgb_led_ws281x: b: "be" 67924-68072 rgb_led_ws281x: rgb: "#0000be" 68072-68078 rgb_led_ws281x: bit: "0" 68078-68084 rgb_led_ws281x: bit: "0" @@ -8673,6 +9711,9 @@ 68201-68208 rgb_led_ws281x: bit: "1" 68208-68214 rgb_led_ws281x: bit: "0" 68214-68220 rgb_led_ws281x: bit: "1" +68072-68121 rgb_led_ws281x: g: "00" +68121-68171 rgb_led_ws281x: r: "00" +68171-68220 rgb_led_ws281x: b: "7d" 68072-68220 rgb_led_ws281x: rgb: "#00007d" 68220-68226 rgb_led_ws281x: bit: "0" 68226-68233 rgb_led_ws281x: bit: "0" @@ -8698,6 +9739,9 @@ 68349-68356 rgb_led_ws281x: bit: "1" 68356-68362 rgb_led_ws281x: bit: "1" 68362-68368 rgb_led_ws281x: bit: "1" +68220-68269 rgb_led_ws281x: g: "00" +68269-68319 rgb_led_ws281x: r: "00" +68319-68368 rgb_led_ws281x: b: "ff" 68220-68368 rgb_led_ws281x: rgb: "#0000ff" 68368-68375 rgb_led_ws281x: bit: "0" 68375-68381 rgb_led_ws281x: bit: "0" @@ -8723,6 +9767,9 @@ 68498-68504 rgb_led_ws281x: bit: "0" 68504-68510 rgb_led_ws281x: bit: "1" 68510-68517 rgb_led_ws281x: bit: "1" +68368-68418 rgb_led_ws281x: g: "00" +68418-68467 rgb_led_ws281x: r: "00" +68467-68517 rgb_led_ws281x: b: "fb" 68368-68517 rgb_led_ws281x: rgb: "#0000fb" 68517-68523 rgb_led_ws281x: bit: "0" 68523-68529 rgb_led_ws281x: bit: "0" @@ -8748,6 +9795,9 @@ 68646-68652 rgb_led_ws281x: bit: "1" 68652-68658 rgb_led_ws281x: bit: "1" 68658-68665 rgb_led_ws281x: bit: "1" +68517-68566 rgb_led_ws281x: g: "00" +68566-68615 rgb_led_ws281x: r: "00" +68615-68665 rgb_led_ws281x: b: "f7" 68517-68665 rgb_led_ws281x: rgb: "#0000f7" 68665-68671 rgb_led_ws281x: bit: "0" 68671-68677 rgb_led_ws281x: bit: "0" @@ -8773,6 +9823,9 @@ 68794-68800 rgb_led_ws281x: bit: "1" 68800-68806 rgb_led_ws281x: bit: "1" 68806-68813 rgb_led_ws281x: bit: "1" +68665-68714 rgb_led_ws281x: g: "00" +68714-68763 rgb_led_ws281x: r: "00" +68763-68813 rgb_led_ws281x: b: "ef" 68665-68813 rgb_led_ws281x: rgb: "#0000ef" 68813-68819 rgb_led_ws281x: bit: "0" 68819-68825 rgb_led_ws281x: bit: "0" @@ -8798,6 +9851,9 @@ 68942-68948 rgb_led_ws281x: bit: "1" 68948-68954 rgb_led_ws281x: bit: "1" 68954-68961 rgb_led_ws281x: bit: "1" +68813-68862 rgb_led_ws281x: g: "00" +68862-68911 rgb_led_ws281x: r: "00" +68911-68961 rgb_led_ws281x: b: "df" 68813-68961 rgb_led_ws281x: rgb: "#0000df" 68961-68967 rgb_led_ws281x: bit: "0" 68967-68973 rgb_led_ws281x: bit: "0" @@ -8823,6 +9879,9 @@ 69090-69096 rgb_led_ws281x: bit: "1" 69096-69102 rgb_led_ws281x: bit: "1" 69102-69109 rgb_led_ws281x: bit: "1" +68961-69010 rgb_led_ws281x: g: "00" +69010-69059 rgb_led_ws281x: r: "00" +69059-69109 rgb_led_ws281x: b: "ff" 68961-69109 rgb_led_ws281x: rgb: "#0000ff" 69109-69115 rgb_led_ws281x: bit: "0" 69115-69121 rgb_led_ws281x: bit: "0" @@ -8848,6 +9907,9 @@ 69238-69244 rgb_led_ws281x: bit: "1" 69244-69251 rgb_led_ws281x: bit: "1" 69251-69257 rgb_led_ws281x: bit: "0" +69109-69158 rgb_led_ws281x: g: "00" +69158-69207 rgb_led_ws281x: r: "00" +69207-69257 rgb_led_ws281x: b: "be" 69109-69257 rgb_led_ws281x: rgb: "#0000be" 69257-69263 rgb_led_ws281x: bit: "0" 69263-69269 rgb_led_ws281x: bit: "0" @@ -8873,6 +9935,9 @@ 69386-69393 rgb_led_ws281x: bit: "1" 69393-69399 rgb_led_ws281x: bit: "0" 69399-69405 rgb_led_ws281x: bit: "1" +69257-69306 rgb_led_ws281x: g: "00" +69306-69356 rgb_led_ws281x: r: "00" +69356-69405 rgb_led_ws281x: b: "7d" 69257-69405 rgb_led_ws281x: rgb: "#00007d" 69405-69411 rgb_led_ws281x: bit: "0" 69411-69418 rgb_led_ws281x: bit: "0" @@ -8898,6 +9963,9 @@ 69534-69541 rgb_led_ws281x: bit: "1" 69541-69547 rgb_led_ws281x: bit: "1" 69547-69553 rgb_led_ws281x: bit: "1" +69405-69454 rgb_led_ws281x: g: "00" +69454-69504 rgb_led_ws281x: r: "00" +69504-69553 rgb_led_ws281x: b: "ff" 69405-69553 rgb_led_ws281x: rgb: "#0000ff" 69553-69560 rgb_led_ws281x: bit: "0" 69560-69566 rgb_led_ws281x: bit: "0" @@ -8923,6 +9991,9 @@ 69683-69689 rgb_led_ws281x: bit: "0" 69689-69695 rgb_led_ws281x: bit: "1" 69695-69702 rgb_led_ws281x: bit: "1" +69553-69603 rgb_led_ws281x: g: "00" +69603-69652 rgb_led_ws281x: r: "00" +69652-69702 rgb_led_ws281x: b: "fb" 69553-69702 rgb_led_ws281x: rgb: "#0000fb" 69702-69708 rgb_led_ws281x: bit: "0" 69708-69714 rgb_led_ws281x: bit: "0" @@ -8948,6 +10019,9 @@ 69831-69837 rgb_led_ws281x: bit: "1" 69837-69843 rgb_led_ws281x: bit: "1" 69843-69850 rgb_led_ws281x: bit: "1" +69702-69751 rgb_led_ws281x: g: "00" +69751-69800 rgb_led_ws281x: r: "00" +69800-69850 rgb_led_ws281x: b: "f7" 69702-69850 rgb_led_ws281x: rgb: "#0000f7" 69850-69856 rgb_led_ws281x: bit: "0" 69856-69862 rgb_led_ws281x: bit: "0" @@ -8973,6 +10047,9 @@ 69979-69985 rgb_led_ws281x: bit: "1" 69985-69991 rgb_led_ws281x: bit: "1" 69991-69998 rgb_led_ws281x: bit: "1" +69850-69899 rgb_led_ws281x: g: "00" +69899-69948 rgb_led_ws281x: r: "00" +69948-69998 rgb_led_ws281x: b: "ef" 69850-69998 rgb_led_ws281x: rgb: "#0000ef" 69998-70004 rgb_led_ws281x: bit: "0" 70004-70010 rgb_led_ws281x: bit: "0" @@ -8998,6 +10075,9 @@ 70127-70133 rgb_led_ws281x: bit: "1" 70133-70139 rgb_led_ws281x: bit: "1" 70139-70146 rgb_led_ws281x: bit: "1" +69998-70047 rgb_led_ws281x: g: "00" +70047-70096 rgb_led_ws281x: r: "00" +70096-70146 rgb_led_ws281x: b: "df" 69998-70146 rgb_led_ws281x: rgb: "#0000df" 70146-70152 rgb_led_ws281x: bit: "0" 70152-70158 rgb_led_ws281x: bit: "0" @@ -9023,6 +10103,9 @@ 70275-70281 rgb_led_ws281x: bit: "1" 70281-70287 rgb_led_ws281x: bit: "1" 70287-70294 rgb_led_ws281x: bit: "1" +70146-70195 rgb_led_ws281x: g: "00" +70195-70244 rgb_led_ws281x: r: "00" +70244-70294 rgb_led_ws281x: b: "bf" 70146-70294 rgb_led_ws281x: rgb: "#0000bf" 70294-70300 rgb_led_ws281x: bit: "0" 70300-70306 rgb_led_ws281x: bit: "0" @@ -9048,6 +10131,9 @@ 70423-70429 rgb_led_ws281x: bit: "1" 70429-70436 rgb_led_ws281x: bit: "1" 70436-70442 rgb_led_ws281x: bit: "0" +70294-70343 rgb_led_ws281x: g: "00" +70343-70392 rgb_led_ws281x: r: "00" +70392-70442 rgb_led_ws281x: b: "fe" 70294-70442 rgb_led_ws281x: rgb: "#0000fe" 70442-70448 rgb_led_ws281x: bit: "0" 70448-70454 rgb_led_ws281x: bit: "0" @@ -9073,6 +10159,9 @@ 70571-70578 rgb_led_ws281x: bit: "1" 70578-70584 rgb_led_ws281x: bit: "0" 70584-70590 rgb_led_ws281x: bit: "1" +70442-70491 rgb_led_ws281x: g: "00" +70491-70541 rgb_led_ws281x: r: "00" +70541-70590 rgb_led_ws281x: b: "7d" 70442-70590 rgb_led_ws281x: rgb: "#00007d" 70590-70596 rgb_led_ws281x: bit: "0" 70596-70603 rgb_led_ws281x: bit: "0" @@ -9098,6 +10187,9 @@ 70719-70726 rgb_led_ws281x: bit: "1" 70726-70732 rgb_led_ws281x: bit: "1" 70732-70738 rgb_led_ws281x: bit: "1" +70590-70640 rgb_led_ws281x: g: "00" +70640-70689 rgb_led_ws281x: r: "00" +70689-70738 rgb_led_ws281x: b: "ff" 70590-70738 rgb_led_ws281x: rgb: "#0000ff" 70738-70745 rgb_led_ws281x: bit: "0" 70745-70751 rgb_led_ws281x: bit: "0" @@ -9123,6 +10215,9 @@ 70868-70874 rgb_led_ws281x: bit: "1" 70874-70880 rgb_led_ws281x: bit: "1" 70880-70887 rgb_led_ws281x: bit: "1" +70738-70788 rgb_led_ws281x: g: "00" +70788-70837 rgb_led_ws281x: r: "00" +70837-70887 rgb_led_ws281x: b: "ff" 70738-70887 rgb_led_ws281x: rgb: "#0000ff" 70887-70893 rgb_led_ws281x: bit: "0" 70893-70899 rgb_led_ws281x: bit: "0" @@ -9148,6 +10243,9 @@ 71016-71022 rgb_led_ws281x: bit: "1" 71022-71028 rgb_led_ws281x: bit: "1" 71028-71035 rgb_led_ws281x: bit: "1" +70887-70936 rgb_led_ws281x: g: "00" +70936-70985 rgb_led_ws281x: r: "00" +70985-71035 rgb_led_ws281x: b: "f7" 70887-71035 rgb_led_ws281x: rgb: "#0000f7" 71035-71041 rgb_led_ws281x: bit: "0" 71041-71047 rgb_led_ws281x: bit: "0" @@ -9173,6 +10271,9 @@ 71164-71170 rgb_led_ws281x: bit: "1" 71170-71176 rgb_led_ws281x: bit: "1" 71176-71183 rgb_led_ws281x: bit: "1" +71035-71084 rgb_led_ws281x: g: "00" +71084-71133 rgb_led_ws281x: r: "00" +71133-71183 rgb_led_ws281x: b: "ef" 71035-71183 rgb_led_ws281x: rgb: "#0000ef" 71183-71189 rgb_led_ws281x: bit: "0" 71189-71195 rgb_led_ws281x: bit: "0" @@ -9198,6 +10299,9 @@ 71312-71318 rgb_led_ws281x: bit: "1" 71318-71324 rgb_led_ws281x: bit: "1" 71324-71331 rgb_led_ws281x: bit: "1" +71183-71232 rgb_led_ws281x: g: "00" +71232-71281 rgb_led_ws281x: r: "00" +71281-71331 rgb_led_ws281x: b: "df" 71183-71331 rgb_led_ws281x: rgb: "#0000df" 71331-71337 rgb_led_ws281x: bit: "0" 71337-71343 rgb_led_ws281x: bit: "0" @@ -9223,6 +10327,9 @@ 71460-71466 rgb_led_ws281x: bit: "1" 71466-71472 rgb_led_ws281x: bit: "1" 71472-71479 rgb_led_ws281x: bit: "1" +71331-71380 rgb_led_ws281x: g: "00" +71380-71429 rgb_led_ws281x: r: "00" +71429-71479 rgb_led_ws281x: b: "bf" 71331-71479 rgb_led_ws281x: rgb: "#0000bf" 71479-71485 rgb_led_ws281x: bit: "0" 71485-71491 rgb_led_ws281x: bit: "0" @@ -9248,6 +10355,9 @@ 71608-71614 rgb_led_ws281x: bit: "1" 71614-71621 rgb_led_ws281x: bit: "1" 71621-71627 rgb_led_ws281x: bit: "0" +71479-71528 rgb_led_ws281x: g: "00" +71528-71578 rgb_led_ws281x: r: "00" +71578-71627 rgb_led_ws281x: b: "7e" 71479-71627 rgb_led_ws281x: rgb: "#00007e" 71627-71633 rgb_led_ws281x: bit: "0" 71633-71639 rgb_led_ws281x: bit: "0" @@ -9273,6 +10383,9 @@ 71756-71763 rgb_led_ws281x: bit: "1" 71763-71769 rgb_led_ws281x: bit: "0" 71769-71775 rgb_led_ws281x: bit: "1" +71627-71676 rgb_led_ws281x: g: "00" +71676-71726 rgb_led_ws281x: r: "00" +71726-71775 rgb_led_ws281x: b: "7d" 71627-71775 rgb_led_ws281x: rgb: "#00007d" 71775-71781 rgb_led_ws281x: bit: "0" 71781-71788 rgb_led_ws281x: bit: "0" @@ -9298,6 +10411,9 @@ 71905-71911 rgb_led_ws281x: bit: "0" 71911-71917 rgb_led_ws281x: bit: "1" 71917-71923 rgb_led_ws281x: bit: "1" +71775-71825 rgb_led_ws281x: g: "00" +71825-71874 rgb_led_ws281x: r: "00" +71874-71923 rgb_led_ws281x: b: "fb" 71775-71923 rgb_led_ws281x: rgb: "#0000fb" 71923-71930 rgb_led_ws281x: bit: "0" 71930-71936 rgb_led_ws281x: bit: "0" @@ -9323,6 +10439,9 @@ 72053-72059 rgb_led_ws281x: bit: "1" 72059-72065 rgb_led_ws281x: bit: "1" 72065-72072 rgb_led_ws281x: bit: "1" +71923-71973 rgb_led_ws281x: g: "00" +71973-72022 rgb_led_ws281x: r: "00" +72022-72072 rgb_led_ws281x: b: "ff" 71923-72072 rgb_led_ws281x: rgb: "#0000ff" 72072-72078 rgb_led_ws281x: bit: "0" 72078-72084 rgb_led_ws281x: bit: "0" @@ -9348,6 +10467,9 @@ 72201-72207 rgb_led_ws281x: bit: "1" 72207-72213 rgb_led_ws281x: bit: "1" 72213-72220 rgb_led_ws281x: bit: "1" +72072-72121 rgb_led_ws281x: g: "00" +72121-72170 rgb_led_ws281x: r: "00" +72170-72220 rgb_led_ws281x: b: "f7" 72072-72220 rgb_led_ws281x: rgb: "#0000f7" 72220-72226 rgb_led_ws281x: bit: "0" 72226-72232 rgb_led_ws281x: bit: "0" @@ -9373,6 +10495,9 @@ 72349-72355 rgb_led_ws281x: bit: "1" 72355-72361 rgb_led_ws281x: bit: "1" 72361-72368 rgb_led_ws281x: bit: "1" +72220-72269 rgb_led_ws281x: g: "00" +72269-72318 rgb_led_ws281x: r: "00" +72318-72368 rgb_led_ws281x: b: "ef" 72220-72368 rgb_led_ws281x: rgb: "#0000ef" 72368-72374 rgb_led_ws281x: bit: "0" 72374-72380 rgb_led_ws281x: bit: "0" @@ -9398,6 +10523,9 @@ 72497-72503 rgb_led_ws281x: bit: "1" 72503-72509 rgb_led_ws281x: bit: "1" 72509-72516 rgb_led_ws281x: bit: "1" +72368-72417 rgb_led_ws281x: g: "00" +72417-72466 rgb_led_ws281x: r: "00" +72466-72516 rgb_led_ws281x: b: "df" 72368-72516 rgb_led_ws281x: rgb: "#0000df" 72516-72522 rgb_led_ws281x: bit: "0" 72522-72528 rgb_led_ws281x: bit: "0" @@ -9423,6 +10551,9 @@ 72645-72651 rgb_led_ws281x: bit: "1" 72651-72657 rgb_led_ws281x: bit: "1" 72657-72664 rgb_led_ws281x: bit: "1" +72516-72565 rgb_led_ws281x: g: "00" +72565-72614 rgb_led_ws281x: r: "00" +72614-72664 rgb_led_ws281x: b: "bf" 72516-72664 rgb_led_ws281x: rgb: "#0000bf" 72664-72670 rgb_led_ws281x: bit: "0" 72670-72676 rgb_led_ws281x: bit: "0" @@ -9448,6 +10579,9 @@ 72793-72799 rgb_led_ws281x: bit: "1" 72799-72806 rgb_led_ws281x: bit: "1" 72806-72812 rgb_led_ws281x: bit: "0" +72664-72713 rgb_led_ws281x: g: "00" +72713-72763 rgb_led_ws281x: r: "00" +72763-72812 rgb_led_ws281x: b: "7e" 72664-72812 rgb_led_ws281x: rgb: "#00007e" 72812-72818 rgb_led_ws281x: bit: "0" 72818-72825 rgb_led_ws281x: bit: "0" @@ -9473,6 +10607,9 @@ 72941-72948 rgb_led_ws281x: bit: "1" 72948-72954 rgb_led_ws281x: bit: "0" 72954-72960 rgb_led_ws281x: bit: "1" +72812-72861 rgb_led_ws281x: g: "00" +72861-72911 rgb_led_ws281x: r: "00" +72911-72960 rgb_led_ws281x: b: "fd" 72812-72960 rgb_led_ws281x: rgb: "#0000fd" 72960-72966 rgb_led_ws281x: bit: "0" 72966-72973 rgb_led_ws281x: bit: "0" @@ -9498,6 +10635,9 @@ 73090-73096 rgb_led_ws281x: bit: "0" 73096-73102 rgb_led_ws281x: bit: "1" 73102-73108 rgb_led_ws281x: bit: "1" +72960-73010 rgb_led_ws281x: g: "00" +73010-73059 rgb_led_ws281x: r: "00" +73059-73108 rgb_led_ws281x: b: "fb" 72960-73108 rgb_led_ws281x: rgb: "#0000fb" 73108-73115 rgb_led_ws281x: bit: "0" 73115-73121 rgb_led_ws281x: bit: "0" @@ -9523,6 +10663,9 @@ 73238-73244 rgb_led_ws281x: bit: "1" 73244-73250 rgb_led_ws281x: bit: "1" 73250-73257 rgb_led_ws281x: bit: "1" +73108-73158 rgb_led_ws281x: g: "00" +73158-73207 rgb_led_ws281x: r: "00" +73207-73257 rgb_led_ws281x: b: "ff" 73108-73257 rgb_led_ws281x: rgb: "#0000ff" 73257-73263 rgb_led_ws281x: bit: "0" 73263-73269 rgb_led_ws281x: bit: "0" @@ -9548,6 +10691,9 @@ 73386-73392 rgb_led_ws281x: bit: "1" 73392-73398 rgb_led_ws281x: bit: "1" 73398-73405 rgb_led_ws281x: bit: "1" +73257-73306 rgb_led_ws281x: g: "00" +73306-73355 rgb_led_ws281x: r: "00" +73355-73405 rgb_led_ws281x: b: "f7" 73257-73405 rgb_led_ws281x: rgb: "#0000f7" 73405-73411 rgb_led_ws281x: bit: "0" 73411-73417 rgb_led_ws281x: bit: "0" @@ -9573,6 +10719,9 @@ 73534-73540 rgb_led_ws281x: bit: "1" 73540-73546 rgb_led_ws281x: bit: "1" 73546-73553 rgb_led_ws281x: bit: "1" +73405-73454 rgb_led_ws281x: g: "00" +73454-73503 rgb_led_ws281x: r: "00" +73503-73553 rgb_led_ws281x: b: "ef" 73405-73553 rgb_led_ws281x: rgb: "#0000ef" 73553-73559 rgb_led_ws281x: bit: "0" 73559-73565 rgb_led_ws281x: bit: "0" @@ -9598,6 +10747,9 @@ 73682-73688 rgb_led_ws281x: bit: "1" 73688-73694 rgb_led_ws281x: bit: "1" 73694-73701 rgb_led_ws281x: bit: "1" +73553-73602 rgb_led_ws281x: g: "00" +73602-73651 rgb_led_ws281x: r: "00" +73651-73701 rgb_led_ws281x: b: "df" 73553-73701 rgb_led_ws281x: rgb: "#0000df" 73701-73707 rgb_led_ws281x: bit: "0" 73707-73713 rgb_led_ws281x: bit: "0" @@ -9623,6 +10775,9 @@ 73830-73836 rgb_led_ws281x: bit: "1" 73836-73842 rgb_led_ws281x: bit: "1" 73842-73849 rgb_led_ws281x: bit: "1" +73701-73750 rgb_led_ws281x: g: "00" +73750-73799 rgb_led_ws281x: r: "00" +73799-73849 rgb_led_ws281x: b: "bf" 73701-73849 rgb_led_ws281x: rgb: "#0000bf" 73849-73855 rgb_led_ws281x: bit: "0" 73855-73861 rgb_led_ws281x: bit: "0" @@ -9648,6 +10803,9 @@ 73978-73984 rgb_led_ws281x: bit: "1" 73984-73991 rgb_led_ws281x: bit: "1" 73991-73997 rgb_led_ws281x: bit: "0" +73849-73898 rgb_led_ws281x: g: "00" +73898-73948 rgb_led_ws281x: r: "00" +73948-73997 rgb_led_ws281x: b: "7e" 73849-73997 rgb_led_ws281x: rgb: "#00007e" 73997-74003 rgb_led_ws281x: bit: "0" 74003-74009 rgb_led_ws281x: bit: "0" @@ -9673,6 +10831,9 @@ 74126-74133 rgb_led_ws281x: bit: "1" 74133-74139 rgb_led_ws281x: bit: "0" 74139-74145 rgb_led_ws281x: bit: "1" +73997-74046 rgb_led_ws281x: g: "00" +74046-74096 rgb_led_ws281x: r: "00" +74096-74145 rgb_led_ws281x: b: "fd" 73997-74145 rgb_led_ws281x: rgb: "#0000fd" 74145-74151 rgb_led_ws281x: bit: "0" 74151-74158 rgb_led_ws281x: bit: "0" @@ -9698,6 +10859,9 @@ 74275-74281 rgb_led_ws281x: bit: "0" 74281-74287 rgb_led_ws281x: bit: "1" 74287-74293 rgb_led_ws281x: bit: "1" +74145-74195 rgb_led_ws281x: g: "00" +74195-74244 rgb_led_ws281x: r: "00" +74244-74293 rgb_led_ws281x: b: "fb" 74145-74293 rgb_led_ws281x: rgb: "#0000fb" 74293-74300 rgb_led_ws281x: bit: "0" 74300-74306 rgb_led_ws281x: bit: "0" @@ -9723,6 +10887,9 @@ 74423-74429 rgb_led_ws281x: bit: "1" 74429-74435 rgb_led_ws281x: bit: "1" 74435-74442 rgb_led_ws281x: bit: "1" +74293-74343 rgb_led_ws281x: g: "00" +74343-74392 rgb_led_ws281x: r: "00" +74392-74442 rgb_led_ws281x: b: "f7" 74293-74442 rgb_led_ws281x: rgb: "#0000f7" 74442-74448 rgb_led_ws281x: bit: "0" 74448-74454 rgb_led_ws281x: bit: "0" @@ -9748,6 +10915,9 @@ 74571-74577 rgb_led_ws281x: bit: "1" 74577-74583 rgb_led_ws281x: bit: "1" 74583-74590 rgb_led_ws281x: bit: "1" +74442-74491 rgb_led_ws281x: g: "00" +74491-74540 rgb_led_ws281x: r: "00" +74540-74590 rgb_led_ws281x: b: "ff" 74442-74590 rgb_led_ws281x: rgb: "#0000ff" 74590-74596 rgb_led_ws281x: bit: "0" 74596-74602 rgb_led_ws281x: bit: "0" @@ -9773,6 +10943,9 @@ 74719-74725 rgb_led_ws281x: bit: "1" 74725-74731 rgb_led_ws281x: bit: "1" 74731-74738 rgb_led_ws281x: bit: "1" +74590-74639 rgb_led_ws281x: g: "00" +74639-74688 rgb_led_ws281x: r: "00" +74688-74738 rgb_led_ws281x: b: "ef" 74590-74738 rgb_led_ws281x: rgb: "#0000ef" 74738-74744 rgb_led_ws281x: bit: "0" 74744-74750 rgb_led_ws281x: bit: "0" @@ -9798,6 +10971,9 @@ 74867-74873 rgb_led_ws281x: bit: "1" 74873-74879 rgb_led_ws281x: bit: "1" 74879-74886 rgb_led_ws281x: bit: "1" +74738-74787 rgb_led_ws281x: g: "00" +74787-74836 rgb_led_ws281x: r: "00" +74836-74886 rgb_led_ws281x: b: "df" 74738-74886 rgb_led_ws281x: rgb: "#0000df" 74886-74892 rgb_led_ws281x: bit: "0" 74892-74898 rgb_led_ws281x: bit: "0" @@ -9823,6 +10999,9 @@ 75015-75021 rgb_led_ws281x: bit: "1" 75021-75027 rgb_led_ws281x: bit: "1" 75027-75034 rgb_led_ws281x: bit: "1" +74886-74935 rgb_led_ws281x: g: "00" +74935-74984 rgb_led_ws281x: r: "00" +74984-75034 rgb_led_ws281x: b: "bf" 74886-75034 rgb_led_ws281x: rgb: "#0000bf" 75034-75040 rgb_led_ws281x: bit: "0" 75040-75046 rgb_led_ws281x: bit: "0" @@ -9848,6 +11027,9 @@ 75163-75169 rgb_led_ws281x: bit: "1" 75169-75176 rgb_led_ws281x: bit: "1" 75176-75182 rgb_led_ws281x: bit: "0" +75034-75083 rgb_led_ws281x: g: "00" +75083-75133 rgb_led_ws281x: r: "00" +75133-75182 rgb_led_ws281x: b: "7e" 75034-75182 rgb_led_ws281x: rgb: "#00007e" 75182-75188 rgb_led_ws281x: bit: "0" 75188-75195 rgb_led_ws281x: bit: "0" @@ -9873,6 +11055,9 @@ 75311-75318 rgb_led_ws281x: bit: "1" 75318-75324 rgb_led_ws281x: bit: "0" 75324-75330 rgb_led_ws281x: bit: "1" +75182-75231 rgb_led_ws281x: g: "00" +75231-75281 rgb_led_ws281x: r: "00" +75281-75330 rgb_led_ws281x: b: "fd" 75182-75330 rgb_led_ws281x: rgb: "#0000fd" 75330-75336 rgb_led_ws281x: bit: "0" 75336-75343 rgb_led_ws281x: bit: "0" @@ -9898,6 +11083,9 @@ 75460-75466 rgb_led_ws281x: bit: "0" 75466-75472 rgb_led_ws281x: bit: "1" 75472-75478 rgb_led_ws281x: bit: "1" +75330-75380 rgb_led_ws281x: g: "00" +75380-75429 rgb_led_ws281x: r: "00" +75429-75478 rgb_led_ws281x: b: "fb" 75330-75478 rgb_led_ws281x: rgb: "#0000fb" 75478-75485 rgb_led_ws281x: bit: "0" 75485-75491 rgb_led_ws281x: bit: "0" @@ -9923,6 +11111,9 @@ 75608-75614 rgb_led_ws281x: bit: "1" 75614-75620 rgb_led_ws281x: bit: "1" 75620-75627 rgb_led_ws281x: bit: "1" +75478-75528 rgb_led_ws281x: g: "00" +75528-75577 rgb_led_ws281x: r: "00" +75577-75627 rgb_led_ws281x: b: "f7" 75478-75627 rgb_led_ws281x: rgb: "#0000f7" 75627-75633 rgb_led_ws281x: bit: "0" 75633-75639 rgb_led_ws281x: bit: "0" @@ -9948,6 +11139,9 @@ 75756-75762 rgb_led_ws281x: bit: "1" 75762-75768 rgb_led_ws281x: bit: "1" 75768-75775 rgb_led_ws281x: bit: "1" +75627-75676 rgb_led_ws281x: g: "00" +75676-75725 rgb_led_ws281x: r: "00" +75725-75775 rgb_led_ws281x: b: "ef" 75627-75775 rgb_led_ws281x: rgb: "#0000ef" 75775-75781 rgb_led_ws281x: bit: "0" 75781-75787 rgb_led_ws281x: bit: "0" @@ -9973,6 +11167,9 @@ 75904-75910 rgb_led_ws281x: bit: "1" 75910-75916 rgb_led_ws281x: bit: "1" 75916-75923 rgb_led_ws281x: bit: "1" +75775-75824 rgb_led_ws281x: g: "00" +75824-75873 rgb_led_ws281x: r: "00" +75873-75923 rgb_led_ws281x: b: "ff" 75775-75923 rgb_led_ws281x: rgb: "#0000ff" 75923-75929 rgb_led_ws281x: bit: "0" 75929-75935 rgb_led_ws281x: bit: "0" @@ -9998,6 +11195,9 @@ 76052-76058 rgb_led_ws281x: bit: "1" 76058-76064 rgb_led_ws281x: bit: "1" 76064-76071 rgb_led_ws281x: bit: "1" +75923-75972 rgb_led_ws281x: g: "00" +75972-76021 rgb_led_ws281x: r: "00" +76021-76071 rgb_led_ws281x: b: "df" 75923-76071 rgb_led_ws281x: rgb: "#0000df" 76071-76077 rgb_led_ws281x: bit: "0" 76077-76083 rgb_led_ws281x: bit: "0" @@ -10023,6 +11223,9 @@ 76200-76206 rgb_led_ws281x: bit: "1" 76206-76213 rgb_led_ws281x: bit: "1" 76213-76219 rgb_led_ws281x: bit: "0" +76071-76120 rgb_led_ws281x: g: "00" +76120-76169 rgb_led_ws281x: r: "00" +76169-76219 rgb_led_ws281x: b: "be" 76071-76219 rgb_led_ws281x: rgb: "#0000be" 76219-76225 rgb_led_ws281x: bit: "0" 76225-76231 rgb_led_ws281x: bit: "0" @@ -10048,6 +11251,9 @@ 76348-76354 rgb_led_ws281x: bit: "1" 76354-76361 rgb_led_ws281x: bit: "1" 76361-76367 rgb_led_ws281x: bit: "0" +76219-76268 rgb_led_ws281x: g: "00" +76268-76318 rgb_led_ws281x: r: "00" +76318-76367 rgb_led_ws281x: b: "7e" 76219-76367 rgb_led_ws281x: rgb: "#00007e" 76367-76373 rgb_led_ws281x: bit: "0" 76373-76380 rgb_led_ws281x: bit: "0" @@ -10073,6 +11279,9 @@ 76496-76503 rgb_led_ws281x: bit: "1" 76503-76509 rgb_led_ws281x: bit: "0" 76509-76515 rgb_led_ws281x: bit: "1" +76367-76416 rgb_led_ws281x: g: "00" +76416-76466 rgb_led_ws281x: r: "00" +76466-76515 rgb_led_ws281x: b: "fd" 76367-76515 rgb_led_ws281x: rgb: "#0000fd" 76515-76522 rgb_led_ws281x: bit: "0" 76522-76528 rgb_led_ws281x: bit: "0" @@ -10098,6 +11307,9 @@ 76645-76651 rgb_led_ws281x: bit: "0" 76651-76657 rgb_led_ws281x: bit: "1" 76657-76663 rgb_led_ws281x: bit: "1" +76515-76565 rgb_led_ws281x: g: "00" +76565-76614 rgb_led_ws281x: r: "00" +76614-76663 rgb_led_ws281x: b: "fb" 76515-76663 rgb_led_ws281x: rgb: "#0000fb" 76663-76670 rgb_led_ws281x: bit: "0" 76670-76676 rgb_led_ws281x: bit: "0" @@ -10123,6 +11335,9 @@ 76793-76799 rgb_led_ws281x: bit: "1" 76799-76805 rgb_led_ws281x: bit: "1" 76805-76812 rgb_led_ws281x: bit: "1" +76663-76713 rgb_led_ws281x: g: "00" +76713-76762 rgb_led_ws281x: r: "00" +76762-76812 rgb_led_ws281x: b: "f7" 76663-76812 rgb_led_ws281x: rgb: "#0000f7" 76812-76818 rgb_led_ws281x: bit: "0" 76818-76824 rgb_led_ws281x: bit: "0" @@ -10148,6 +11363,9 @@ 76941-76947 rgb_led_ws281x: bit: "1" 76947-76953 rgb_led_ws281x: bit: "1" 76953-76960 rgb_led_ws281x: bit: "1" +76812-76861 rgb_led_ws281x: g: "00" +76861-76910 rgb_led_ws281x: r: "00" +76910-76960 rgb_led_ws281x: b: "ef" 76812-76960 rgb_led_ws281x: rgb: "#0000ef" 76960-76966 rgb_led_ws281x: bit: "0" 76966-76972 rgb_led_ws281x: bit: "0" @@ -10173,6 +11391,9 @@ 77089-77095 rgb_led_ws281x: bit: "1" 77095-77101 rgb_led_ws281x: bit: "1" 77101-77108 rgb_led_ws281x: bit: "1" +76960-77009 rgb_led_ws281x: g: "00" +77009-77058 rgb_led_ws281x: r: "00" +77058-77108 rgb_led_ws281x: b: "df" 76960-77108 rgb_led_ws281x: rgb: "#0000df" 77108-77114 rgb_led_ws281x: bit: "0" 77114-77120 rgb_led_ws281x: bit: "0" @@ -10198,6 +11419,9 @@ 77237-77243 rgb_led_ws281x: bit: "1" 77243-77249 rgb_led_ws281x: bit: "1" 77249-77256 rgb_led_ws281x: bit: "1" +77108-77157 rgb_led_ws281x: g: "00" +77157-77206 rgb_led_ws281x: r: "00" +77206-77256 rgb_led_ws281x: b: "df" 77108-77256 rgb_led_ws281x: rgb: "#0000df" 77256-77262 rgb_led_ws281x: bit: "0" 77262-77268 rgb_led_ws281x: bit: "0" @@ -10223,6 +11447,9 @@ 77385-77391 rgb_led_ws281x: bit: "1" 77391-77398 rgb_led_ws281x: bit: "1" 77398-77404 rgb_led_ws281x: bit: "0" +77256-77305 rgb_led_ws281x: g: "00" +77305-77354 rgb_led_ws281x: r: "00" +77354-77404 rgb_led_ws281x: b: "be" 77256-77404 rgb_led_ws281x: rgb: "#0000be" 77404-77410 rgb_led_ws281x: bit: "0" 77410-77416 rgb_led_ws281x: bit: "0" @@ -10248,6 +11475,9 @@ 77533-77540 rgb_led_ws281x: bit: "1" 77540-77546 rgb_led_ws281x: bit: "0" 77546-77552 rgb_led_ws281x: bit: "1" +77404-77453 rgb_led_ws281x: g: "00" +77453-77503 rgb_led_ws281x: r: "00" +77503-77552 rgb_led_ws281x: b: "7d" 77404-77552 rgb_led_ws281x: rgb: "#00007d" 77552-77558 rgb_led_ws281x: bit: "0" 77558-77565 rgb_led_ws281x: bit: "0" @@ -10273,6 +11503,9 @@ 77681-77688 rgb_led_ws281x: bit: "1" 77688-77694 rgb_led_ws281x: bit: "1" 77694-77700 rgb_led_ws281x: bit: "1" +77552-77601 rgb_led_ws281x: g: "00" +77601-77651 rgb_led_ws281x: r: "00" +77651-77700 rgb_led_ws281x: b: "ff" 77552-77700 rgb_led_ws281x: rgb: "#0000ff" 77700-77707 rgb_led_ws281x: bit: "0" 77707-77713 rgb_led_ws281x: bit: "0" @@ -10298,6 +11531,9 @@ 77830-77836 rgb_led_ws281x: bit: "0" 77836-77842 rgb_led_ws281x: bit: "1" 77842-77849 rgb_led_ws281x: bit: "1" +77700-77750 rgb_led_ws281x: g: "00" +77750-77799 rgb_led_ws281x: r: "00" +77799-77849 rgb_led_ws281x: b: "fb" 77700-77849 rgb_led_ws281x: rgb: "#0000fb" 77849-77855 rgb_led_ws281x: bit: "0" 77855-77861 rgb_led_ws281x: bit: "0" @@ -10323,6 +11559,9 @@ 77978-77984 rgb_led_ws281x: bit: "1" 77984-77990 rgb_led_ws281x: bit: "1" 77990-77997 rgb_led_ws281x: bit: "1" +77849-77898 rgb_led_ws281x: g: "00" +77898-77947 rgb_led_ws281x: r: "00" +77947-77997 rgb_led_ws281x: b: "f7" 77849-77997 rgb_led_ws281x: rgb: "#0000f7" 77997-78003 rgb_led_ws281x: bit: "0" 78003-78009 rgb_led_ws281x: bit: "0" @@ -10348,6 +11587,9 @@ 78126-78132 rgb_led_ws281x: bit: "1" 78132-78138 rgb_led_ws281x: bit: "1" 78138-78145 rgb_led_ws281x: bit: "1" +77997-78046 rgb_led_ws281x: g: "00" +78046-78095 rgb_led_ws281x: r: "00" +78095-78145 rgb_led_ws281x: b: "ef" 77997-78145 rgb_led_ws281x: rgb: "#0000ef" 78145-78151 rgb_led_ws281x: bit: "0" 78151-78157 rgb_led_ws281x: bit: "0" @@ -10373,6 +11615,9 @@ 78274-78280 rgb_led_ws281x: bit: "1" 78280-78286 rgb_led_ws281x: bit: "1" 78286-78293 rgb_led_ws281x: bit: "1" +78145-78194 rgb_led_ws281x: g: "00" +78194-78243 rgb_led_ws281x: r: "00" +78243-78293 rgb_led_ws281x: b: "df" 78145-78293 rgb_led_ws281x: rgb: "#0000df" 78293-78299 rgb_led_ws281x: bit: "0" 78299-78305 rgb_led_ws281x: bit: "0" @@ -10398,6 +11643,9 @@ 78422-78428 rgb_led_ws281x: bit: "1" 78428-78434 rgb_led_ws281x: bit: "1" 78434-78441 rgb_led_ws281x: bit: "1" +78293-78342 rgb_led_ws281x: g: "00" +78342-78391 rgb_led_ws281x: r: "00" +78391-78441 rgb_led_ws281x: b: "ff" 78293-78441 rgb_led_ws281x: rgb: "#0000ff" 78441-78447 rgb_led_ws281x: bit: "0" 78447-78453 rgb_led_ws281x: bit: "0" @@ -10423,6 +11671,9 @@ 78570-78576 rgb_led_ws281x: bit: "1" 78576-78583 rgb_led_ws281x: bit: "1" 78583-78589 rgb_led_ws281x: bit: "0" +78441-78490 rgb_led_ws281x: g: "00" +78490-78539 rgb_led_ws281x: r: "00" +78539-78589 rgb_led_ws281x: b: "be" 78441-78589 rgb_led_ws281x: rgb: "#0000be" 78589-78595 rgb_led_ws281x: bit: "0" 78595-78601 rgb_led_ws281x: bit: "0" @@ -10448,6 +11699,9 @@ 78718-78725 rgb_led_ws281x: bit: "1" 78725-78731 rgb_led_ws281x: bit: "0" 78731-78737 rgb_led_ws281x: bit: "1" +78589-78638 rgb_led_ws281x: g: "00" +78638-78688 rgb_led_ws281x: r: "00" +78688-78737 rgb_led_ws281x: b: "7d" 78589-78737 rgb_led_ws281x: rgb: "#00007d" 78737-78743 rgb_led_ws281x: bit: "0" 78743-78750 rgb_led_ws281x: bit: "0" @@ -10473,6 +11727,9 @@ 78866-78873 rgb_led_ws281x: bit: "1" 78873-78879 rgb_led_ws281x: bit: "1" 78879-78885 rgb_led_ws281x: bit: "1" +78737-78786 rgb_led_ws281x: g: "00" +78786-78836 rgb_led_ws281x: r: "00" +78836-78885 rgb_led_ws281x: b: "ff" 78737-78885 rgb_led_ws281x: rgb: "#0000ff" 78885-78892 rgb_led_ws281x: bit: "0" 78892-78898 rgb_led_ws281x: bit: "0" @@ -10498,6 +11755,9 @@ 79015-79021 rgb_led_ws281x: bit: "0" 79021-79027 rgb_led_ws281x: bit: "1" 79027-79034 rgb_led_ws281x: bit: "1" +78885-78935 rgb_led_ws281x: g: "00" +78935-78984 rgb_led_ws281x: r: "00" +78984-79034 rgb_led_ws281x: b: "fb" 78885-79034 rgb_led_ws281x: rgb: "#0000fb" 79034-79040 rgb_led_ws281x: bit: "0" 79040-79046 rgb_led_ws281x: bit: "0" @@ -10523,6 +11783,9 @@ 79163-79169 rgb_led_ws281x: bit: "1" 79169-79175 rgb_led_ws281x: bit: "1" 79175-79182 rgb_led_ws281x: bit: "1" +79034-79083 rgb_led_ws281x: g: "00" +79083-79132 rgb_led_ws281x: r: "00" +79132-79182 rgb_led_ws281x: b: "f7" 79034-79182 rgb_led_ws281x: rgb: "#0000f7" 79182-79188 rgb_led_ws281x: bit: "0" 79188-79194 rgb_led_ws281x: bit: "0" @@ -10548,6 +11811,9 @@ 79311-79317 rgb_led_ws281x: bit: "1" 79317-79323 rgb_led_ws281x: bit: "1" 79323-79330 rgb_led_ws281x: bit: "1" +79182-79231 rgb_led_ws281x: g: "00" +79231-79280 rgb_led_ws281x: r: "00" +79280-79330 rgb_led_ws281x: b: "ef" 79182-79330 rgb_led_ws281x: rgb: "#0000ef" 79330-79336 rgb_led_ws281x: bit: "0" 79336-79342 rgb_led_ws281x: bit: "0" @@ -10573,6 +11839,9 @@ 79459-79465 rgb_led_ws281x: bit: "1" 79465-79471 rgb_led_ws281x: bit: "1" 79471-79478 rgb_led_ws281x: bit: "1" +79330-79379 rgb_led_ws281x: g: "00" +79379-79428 rgb_led_ws281x: r: "00" +79428-79478 rgb_led_ws281x: b: "df" 79330-79478 rgb_led_ws281x: rgb: "#0000df" 79478-79484 rgb_led_ws281x: bit: "0" 79484-79490 rgb_led_ws281x: bit: "0" @@ -10598,6 +11867,9 @@ 79607-79613 rgb_led_ws281x: bit: "1" 79613-79619 rgb_led_ws281x: bit: "1" 79619-79626 rgb_led_ws281x: bit: "1" +79478-79527 rgb_led_ws281x: g: "00" +79527-79576 rgb_led_ws281x: r: "00" +79576-79626 rgb_led_ws281x: b: "bf" 79478-79626 rgb_led_ws281x: rgb: "#0000bf" 79626-79632 rgb_led_ws281x: bit: "0" 79632-79638 rgb_led_ws281x: bit: "0" @@ -10623,6 +11895,9 @@ 79755-79761 rgb_led_ws281x: bit: "1" 79761-79768 rgb_led_ws281x: bit: "1" 79768-79774 rgb_led_ws281x: bit: "0" +79626-79675 rgb_led_ws281x: g: "00" +79675-79724 rgb_led_ws281x: r: "00" +79724-79774 rgb_led_ws281x: b: "be" 79626-79774 rgb_led_ws281x: rgb: "#0000be" 79774-79780 rgb_led_ws281x: bit: "0" 79780-79786 rgb_led_ws281x: bit: "0" @@ -10648,6 +11923,9 @@ 79903-79910 rgb_led_ws281x: bit: "1" 79910-79916 rgb_led_ws281x: bit: "0" 79916-79922 rgb_led_ws281x: bit: "1" +79774-79823 rgb_led_ws281x: g: "00" +79823-79873 rgb_led_ws281x: r: "00" +79873-79922 rgb_led_ws281x: b: "7d" 79774-79922 rgb_led_ws281x: rgb: "#00007d" 79922-79928 rgb_led_ws281x: bit: "0" 79928-79935 rgb_led_ws281x: bit: "0" @@ -10673,6 +11951,9 @@ 80051-80058 rgb_led_ws281x: bit: "1" 80058-80064 rgb_led_ws281x: bit: "1" 80064-80070 rgb_led_ws281x: bit: "1" +79922-79972 rgb_led_ws281x: g: "00" +79972-80021 rgb_led_ws281x: r: "00" +80021-80070 rgb_led_ws281x: b: "ff" 79922-80070 rgb_led_ws281x: rgb: "#0000ff" 80070-80077 rgb_led_ws281x: bit: "0" 80077-80083 rgb_led_ws281x: bit: "0" @@ -10698,6 +11979,9 @@ 80200-80206 rgb_led_ws281x: bit: "1" 80206-80212 rgb_led_ws281x: bit: "1" 80212-80219 rgb_led_ws281x: bit: "1" +80070-80120 rgb_led_ws281x: g: "00" +80120-80169 rgb_led_ws281x: r: "00" +80169-80219 rgb_led_ws281x: b: "ff" 80070-80219 rgb_led_ws281x: rgb: "#0000ff" 80219-80225 rgb_led_ws281x: bit: "0" 80225-80231 rgb_led_ws281x: bit: "0" @@ -10723,6 +12007,9 @@ 80348-80354 rgb_led_ws281x: bit: "1" 80354-80360 rgb_led_ws281x: bit: "1" 80360-80367 rgb_led_ws281x: bit: "1" +80219-80268 rgb_led_ws281x: g: "00" +80268-80317 rgb_led_ws281x: r: "00" +80317-80367 rgb_led_ws281x: b: "f7" 80219-80367 rgb_led_ws281x: rgb: "#0000f7" 80367-80373 rgb_led_ws281x: bit: "0" 80373-80379 rgb_led_ws281x: bit: "0" @@ -10748,6 +12035,9 @@ 80496-80502 rgb_led_ws281x: bit: "1" 80502-80508 rgb_led_ws281x: bit: "1" 80508-80515 rgb_led_ws281x: bit: "1" +80367-80416 rgb_led_ws281x: g: "00" +80416-80465 rgb_led_ws281x: r: "00" +80465-80515 rgb_led_ws281x: b: "ef" 80367-80515 rgb_led_ws281x: rgb: "#0000ef" 80515-80521 rgb_led_ws281x: bit: "0" 80521-80527 rgb_led_ws281x: bit: "0" @@ -10773,6 +12063,9 @@ 80644-80650 rgb_led_ws281x: bit: "1" 80650-80656 rgb_led_ws281x: bit: "1" 80656-80663 rgb_led_ws281x: bit: "1" +80515-80564 rgb_led_ws281x: g: "00" +80564-80613 rgb_led_ws281x: r: "00" +80613-80663 rgb_led_ws281x: b: "df" 80515-80663 rgb_led_ws281x: rgb: "#0000df" 80663-80669 rgb_led_ws281x: bit: "0" 80669-80675 rgb_led_ws281x: bit: "0" @@ -10798,6 +12091,9 @@ 80792-80798 rgb_led_ws281x: bit: "1" 80798-80804 rgb_led_ws281x: bit: "1" 80804-80811 rgb_led_ws281x: bit: "1" +80663-80712 rgb_led_ws281x: g: "00" +80712-80761 rgb_led_ws281x: r: "00" +80761-80811 rgb_led_ws281x: b: "bf" 80663-80811 rgb_led_ws281x: rgb: "#0000bf" 80811-80817 rgb_led_ws281x: bit: "0" 80817-80823 rgb_led_ws281x: bit: "0" @@ -10823,6 +12119,9 @@ 80940-80946 rgb_led_ws281x: bit: "1" 80946-80953 rgb_led_ws281x: bit: "1" 80953-80959 rgb_led_ws281x: bit: "0" +80811-80860 rgb_led_ws281x: g: "00" +80860-80910 rgb_led_ws281x: r: "00" +80910-80959 rgb_led_ws281x: b: "7e" 80811-80959 rgb_led_ws281x: rgb: "#00007e" 80959-80965 rgb_led_ws281x: bit: "0" 80965-80971 rgb_led_ws281x: bit: "0" @@ -10848,6 +12147,9 @@ 81088-81095 rgb_led_ws281x: bit: "1" 81095-81101 rgb_led_ws281x: bit: "0" 81101-81107 rgb_led_ws281x: bit: "1" +80959-81008 rgb_led_ws281x: g: "00" +81008-81058 rgb_led_ws281x: r: "00" +81058-81107 rgb_led_ws281x: b: "7d" 80959-81107 rgb_led_ws281x: rgb: "#00007d" 81107-81113 rgb_led_ws281x: bit: "0" 81113-81120 rgb_led_ws281x: bit: "0" @@ -10873,6 +12175,9 @@ 81237-81243 rgb_led_ws281x: bit: "0" 81243-81249 rgb_led_ws281x: bit: "1" 81249-81255 rgb_led_ws281x: bit: "1" +81107-81157 rgb_led_ws281x: g: "00" +81157-81206 rgb_led_ws281x: r: "00" +81206-81255 rgb_led_ws281x: b: "fb" 81107-81255 rgb_led_ws281x: rgb: "#0000fb" 81255-81262 rgb_led_ws281x: bit: "0" 81262-81268 rgb_led_ws281x: bit: "0" @@ -10898,6 +12203,9 @@ 81385-81391 rgb_led_ws281x: bit: "1" 81391-81397 rgb_led_ws281x: bit: "1" 81397-81404 rgb_led_ws281x: bit: "1" +81255-81305 rgb_led_ws281x: g: "00" +81305-81354 rgb_led_ws281x: r: "00" +81354-81404 rgb_led_ws281x: b: "ff" 81255-81404 rgb_led_ws281x: rgb: "#0000ff" 81404-81410 rgb_led_ws281x: bit: "0" 81410-81416 rgb_led_ws281x: bit: "0" @@ -10923,6 +12231,9 @@ 81533-81539 rgb_led_ws281x: bit: "1" 81539-81545 rgb_led_ws281x: bit: "1" 81545-81552 rgb_led_ws281x: bit: "1" +81404-81453 rgb_led_ws281x: g: "00" +81453-81502 rgb_led_ws281x: r: "00" +81502-81552 rgb_led_ws281x: b: "f7" 81404-81552 rgb_led_ws281x: rgb: "#0000f7" 81552-81558 rgb_led_ws281x: bit: "0" 81558-81564 rgb_led_ws281x: bit: "0" @@ -10948,6 +12259,9 @@ 81681-81687 rgb_led_ws281x: bit: "1" 81687-81693 rgb_led_ws281x: bit: "1" 81693-81700 rgb_led_ws281x: bit: "1" +81552-81601 rgb_led_ws281x: g: "00" +81601-81650 rgb_led_ws281x: r: "00" +81650-81700 rgb_led_ws281x: b: "ef" 81552-81700 rgb_led_ws281x: rgb: "#0000ef" 81700-81706 rgb_led_ws281x: bit: "0" 81706-81712 rgb_led_ws281x: bit: "0" @@ -10973,6 +12287,9 @@ 81829-81835 rgb_led_ws281x: bit: "1" 81835-81841 rgb_led_ws281x: bit: "1" 81841-81848 rgb_led_ws281x: bit: "1" +81700-81749 rgb_led_ws281x: g: "00" +81749-81798 rgb_led_ws281x: r: "00" +81798-81848 rgb_led_ws281x: b: "df" 81700-81848 rgb_led_ws281x: rgb: "#0000df" 81848-81854 rgb_led_ws281x: bit: "0" 81854-81860 rgb_led_ws281x: bit: "0" @@ -10998,6 +12315,9 @@ 81977-81983 rgb_led_ws281x: bit: "1" 81983-81989 rgb_led_ws281x: bit: "1" 81989-81996 rgb_led_ws281x: bit: "1" +81848-81897 rgb_led_ws281x: g: "00" +81897-81946 rgb_led_ws281x: r: "00" +81946-81996 rgb_led_ws281x: b: "bf" 81848-81996 rgb_led_ws281x: rgb: "#0000bf" 81996-82002 rgb_led_ws281x: bit: "0" 82002-82008 rgb_led_ws281x: bit: "0" @@ -11023,6 +12343,9 @@ 82125-82131 rgb_led_ws281x: bit: "1" 82131-82138 rgb_led_ws281x: bit: "1" 82138-82144 rgb_led_ws281x: bit: "0" +81996-82045 rgb_led_ws281x: g: "00" +82045-82095 rgb_led_ws281x: r: "00" +82095-82144 rgb_led_ws281x: b: "7e" 81996-82144 rgb_led_ws281x: rgb: "#00007e" 82144-82150 rgb_led_ws281x: bit: "0" 82150-82156 rgb_led_ws281x: bit: "0" @@ -11048,6 +12371,9 @@ 82273-82280 rgb_led_ws281x: bit: "1" 82280-82286 rgb_led_ws281x: bit: "0" 82286-82292 rgb_led_ws281x: bit: "1" +82144-82193 rgb_led_ws281x: g: "00" +82193-82243 rgb_led_ws281x: r: "00" +82243-82292 rgb_led_ws281x: b: "7d" 82144-82292 rgb_led_ws281x: rgb: "#00007d" 82292-82298 rgb_led_ws281x: bit: "0" 82298-82305 rgb_led_ws281x: bit: "0" @@ -11073,6 +12399,9 @@ 82422-82428 rgb_led_ws281x: bit: "0" 82428-82434 rgb_led_ws281x: bit: "1" 82434-82440 rgb_led_ws281x: bit: "1" +82292-82342 rgb_led_ws281x: g: "00" +82342-82391 rgb_led_ws281x: r: "00" +82391-82440 rgb_led_ws281x: b: "fb" 82292-82440 rgb_led_ws281x: rgb: "#0000fb" 82440-82447 rgb_led_ws281x: bit: "0" 82447-82453 rgb_led_ws281x: bit: "0" @@ -11098,6 +12427,9 @@ 82570-82576 rgb_led_ws281x: bit: "1" 82576-82582 rgb_led_ws281x: bit: "1" 82582-82589 rgb_led_ws281x: bit: "1" +82440-82490 rgb_led_ws281x: g: "00" +82490-82539 rgb_led_ws281x: r: "00" +82539-82589 rgb_led_ws281x: b: "ff" 82440-82589 rgb_led_ws281x: rgb: "#0000ff" 82589-82595 rgb_led_ws281x: bit: "0" 82595-82601 rgb_led_ws281x: bit: "0" @@ -11123,6 +12455,9 @@ 82718-82724 rgb_led_ws281x: bit: "1" 82724-82730 rgb_led_ws281x: bit: "1" 82730-82737 rgb_led_ws281x: bit: "1" +82589-82638 rgb_led_ws281x: g: "00" +82638-82687 rgb_led_ws281x: r: "00" +82687-82737 rgb_led_ws281x: b: "f7" 82589-82737 rgb_led_ws281x: rgb: "#0000f7" 82737-82743 rgb_led_ws281x: bit: "0" 82743-82749 rgb_led_ws281x: bit: "0" @@ -11148,6 +12483,9 @@ 82866-82872 rgb_led_ws281x: bit: "1" 82872-82878 rgb_led_ws281x: bit: "1" 82878-82885 rgb_led_ws281x: bit: "1" +82737-82786 rgb_led_ws281x: g: "00" +82786-82835 rgb_led_ws281x: r: "00" +82835-82885 rgb_led_ws281x: b: "ef" 82737-82885 rgb_led_ws281x: rgb: "#0000ef" 82885-82891 rgb_led_ws281x: bit: "0" 82891-82897 rgb_led_ws281x: bit: "0" @@ -11173,6 +12511,9 @@ 83014-83020 rgb_led_ws281x: bit: "1" 83020-83026 rgb_led_ws281x: bit: "1" 83026-83033 rgb_led_ws281x: bit: "1" +82885-82934 rgb_led_ws281x: g: "00" +82934-82983 rgb_led_ws281x: r: "00" +82983-83033 rgb_led_ws281x: b: "df" 82885-83033 rgb_led_ws281x: rgb: "#0000df" 83033-83039 rgb_led_ws281x: bit: "0" 83039-83045 rgb_led_ws281x: bit: "0" @@ -11198,6 +12539,9 @@ 83162-83168 rgb_led_ws281x: bit: "1" 83168-83174 rgb_led_ws281x: bit: "1" 83174-83181 rgb_led_ws281x: bit: "1" +83033-83082 rgb_led_ws281x: g: "00" +83082-83131 rgb_led_ws281x: r: "00" +83131-83181 rgb_led_ws281x: b: "bf" 83033-83181 rgb_led_ws281x: rgb: "#0000bf" 83181-83187 rgb_led_ws281x: bit: "0" 83187-83193 rgb_led_ws281x: bit: "0" @@ -11223,6 +12567,9 @@ 83310-83316 rgb_led_ws281x: bit: "1" 83316-83323 rgb_led_ws281x: bit: "1" 83323-83329 rgb_led_ws281x: bit: "0" +83181-83230 rgb_led_ws281x: g: "00" +83230-83280 rgb_led_ws281x: r: "00" +83280-83329 rgb_led_ws281x: b: "7e" 83181-83329 rgb_led_ws281x: rgb: "#00007e" 83329-83335 rgb_led_ws281x: bit: "0" 83335-83341 rgb_led_ws281x: bit: "0" @@ -11248,6 +12595,9 @@ 83458-83465 rgb_led_ws281x: bit: "1" 83465-83471 rgb_led_ws281x: bit: "0" 83471-83477 rgb_led_ws281x: bit: "1" +83329-83378 rgb_led_ws281x: g: "00" +83378-83428 rgb_led_ws281x: r: "00" +83428-83477 rgb_led_ws281x: b: "fd" 83329-83477 rgb_led_ws281x: rgb: "#0000fd" 83477-83483 rgb_led_ws281x: bit: "0" 83483-83490 rgb_led_ws281x: bit: "0" @@ -11273,6 +12623,9 @@ 83607-83613 rgb_led_ws281x: bit: "0" 83613-83619 rgb_led_ws281x: bit: "1" 83619-83625 rgb_led_ws281x: bit: "1" +83477-83527 rgb_led_ws281x: g: "00" +83527-83576 rgb_led_ws281x: r: "00" +83576-83625 rgb_led_ws281x: b: "fb" 83477-83625 rgb_led_ws281x: rgb: "#0000fb" 83625-83632 rgb_led_ws281x: bit: "0" 83632-83638 rgb_led_ws281x: bit: "0" @@ -11298,6 +12651,9 @@ 83755-83761 rgb_led_ws281x: bit: "1" 83761-83767 rgb_led_ws281x: bit: "1" 83767-83774 rgb_led_ws281x: bit: "1" +83625-83675 rgb_led_ws281x: g: "00" +83675-83724 rgb_led_ws281x: r: "00" +83724-83774 rgb_led_ws281x: b: "f7" 83625-83774 rgb_led_ws281x: rgb: "#0000f7" 83774-83780 rgb_led_ws281x: bit: "0" 83780-83786 rgb_led_ws281x: bit: "0" @@ -11323,6 +12679,9 @@ 83903-83909 rgb_led_ws281x: bit: "1" 83909-83915 rgb_led_ws281x: bit: "1" 83915-83922 rgb_led_ws281x: bit: "1" +83774-83823 rgb_led_ws281x: g: "00" +83823-83872 rgb_led_ws281x: r: "00" +83872-83922 rgb_led_ws281x: b: "f7" 83774-83922 rgb_led_ws281x: rgb: "#0000f7" 83922-83928 rgb_led_ws281x: bit: "0" 83928-83934 rgb_led_ws281x: bit: "0" @@ -11348,6 +12707,9 @@ 84051-84057 rgb_led_ws281x: bit: "1" 84057-84063 rgb_led_ws281x: bit: "1" 84063-84070 rgb_led_ws281x: bit: "1" +83922-83971 rgb_led_ws281x: g: "00" +83971-84020 rgb_led_ws281x: r: "00" +84020-84070 rgb_led_ws281x: b: "ef" 83922-84070 rgb_led_ws281x: rgb: "#0000ef" 84070-84076 rgb_led_ws281x: bit: "0" 84076-84082 rgb_led_ws281x: bit: "0" @@ -11373,6 +12735,9 @@ 84199-84205 rgb_led_ws281x: bit: "1" 84205-84211 rgb_led_ws281x: bit: "1" 84211-84218 rgb_led_ws281x: bit: "1" +84070-84119 rgb_led_ws281x: g: "00" +84119-84168 rgb_led_ws281x: r: "00" +84168-84218 rgb_led_ws281x: b: "df" 84070-84218 rgb_led_ws281x: rgb: "#0000df" 84218-84224 rgb_led_ws281x: bit: "0" 84224-84230 rgb_led_ws281x: bit: "0" @@ -11398,6 +12763,9 @@ 84347-84353 rgb_led_ws281x: bit: "1" 84353-84359 rgb_led_ws281x: bit: "1" 84359-84366 rgb_led_ws281x: bit: "1" +84218-84267 rgb_led_ws281x: g: "00" +84267-84316 rgb_led_ws281x: r: "00" +84316-84366 rgb_led_ws281x: b: "bf" 84218-84366 rgb_led_ws281x: rgb: "#0000bf" 84366-84372 rgb_led_ws281x: bit: "0" 84372-84378 rgb_led_ws281x: bit: "0" @@ -11423,6 +12791,9 @@ 84495-84501 rgb_led_ws281x: bit: "1" 84501-84508 rgb_led_ws281x: bit: "1" 84508-84514 rgb_led_ws281x: bit: "0" +84366-84415 rgb_led_ws281x: g: "00" +84415-84465 rgb_led_ws281x: r: "00" +84465-84514 rgb_led_ws281x: b: "7e" 84366-84514 rgb_led_ws281x: rgb: "#00007e" 84514-84520 rgb_led_ws281x: bit: "0" 84520-84527 rgb_led_ws281x: bit: "0" @@ -11448,6 +12819,9 @@ 84643-84650 rgb_led_ws281x: bit: "1" 84650-84656 rgb_led_ws281x: bit: "0" 84656-84662 rgb_led_ws281x: bit: "1" +84514-84563 rgb_led_ws281x: g: "00" +84563-84613 rgb_led_ws281x: r: "00" +84613-84662 rgb_led_ws281x: b: "fd" 84514-84662 rgb_led_ws281x: rgb: "#0000fd" 84662-84668 rgb_led_ws281x: bit: "0" 84668-84675 rgb_led_ws281x: bit: "0" @@ -11473,6 +12847,9 @@ 84792-84798 rgb_led_ws281x: bit: "0" 84798-84804 rgb_led_ws281x: bit: "1" 84804-84810 rgb_led_ws281x: bit: "1" +84662-84712 rgb_led_ws281x: g: "00" +84712-84761 rgb_led_ws281x: r: "00" +84761-84810 rgb_led_ws281x: b: "fb" 84662-84810 rgb_led_ws281x: rgb: "#0000fb" 84810-84817 rgb_led_ws281x: bit: "0" 84817-84823 rgb_led_ws281x: bit: "0" @@ -11498,6 +12875,9 @@ 84940-84946 rgb_led_ws281x: bit: "1" 84946-84952 rgb_led_ws281x: bit: "1" 84952-84959 rgb_led_ws281x: bit: "1" +84810-84860 rgb_led_ws281x: g: "00" +84860-84909 rgb_led_ws281x: r: "00" +84909-84959 rgb_led_ws281x: b: "f7" 84810-84959 rgb_led_ws281x: rgb: "#0000f7" 84959-84965 rgb_led_ws281x: bit: "0" 84965-84971 rgb_led_ws281x: bit: "0" @@ -11523,6 +12903,9 @@ 85088-85094 rgb_led_ws281x: bit: "1" 85094-85100 rgb_led_ws281x: bit: "1" 85100-85107 rgb_led_ws281x: bit: "1" +84959-85008 rgb_led_ws281x: g: "00" +85008-85057 rgb_led_ws281x: r: "00" +85057-85107 rgb_led_ws281x: b: "ef" 84959-85107 rgb_led_ws281x: rgb: "#0000ef" 85107-85113 rgb_led_ws281x: bit: "0" 85113-85119 rgb_led_ws281x: bit: "0" @@ -11548,6 +12931,9 @@ 85236-85242 rgb_led_ws281x: bit: "1" 85242-85248 rgb_led_ws281x: bit: "1" 85248-85255 rgb_led_ws281x: bit: "1" +85107-85156 rgb_led_ws281x: g: "00" +85156-85205 rgb_led_ws281x: r: "00" +85205-85255 rgb_led_ws281x: b: "ef" 85107-85255 rgb_led_ws281x: rgb: "#0000ef" 85255-85261 rgb_led_ws281x: bit: "0" 85261-85267 rgb_led_ws281x: bit: "0" @@ -11573,6 +12959,9 @@ 85384-85390 rgb_led_ws281x: bit: "1" 85390-85396 rgb_led_ws281x: bit: "1" 85396-85403 rgb_led_ws281x: bit: "1" +85255-85304 rgb_led_ws281x: g: "00" +85304-85353 rgb_led_ws281x: r: "00" +85353-85403 rgb_led_ws281x: b: "df" 85255-85403 rgb_led_ws281x: rgb: "#0000df" 85403-85409 rgb_led_ws281x: bit: "0" 85409-85415 rgb_led_ws281x: bit: "0" @@ -11598,6 +12987,9 @@ 85532-85538 rgb_led_ws281x: bit: "1" 85538-85545 rgb_led_ws281x: bit: "1" 85545-85551 rgb_led_ws281x: bit: "0" +85403-85452 rgb_led_ws281x: g: "00" +85452-85501 rgb_led_ws281x: r: "00" +85501-85551 rgb_led_ws281x: b: "be" 85403-85551 rgb_led_ws281x: rgb: "#0000be" 85551-85557 rgb_led_ws281x: bit: "0" 85557-85563 rgb_led_ws281x: bit: "0" @@ -11623,6 +13015,9 @@ 85680-85686 rgb_led_ws281x: bit: "1" 85686-85693 rgb_led_ws281x: bit: "1" 85693-85699 rgb_led_ws281x: bit: "1" +85551-85600 rgb_led_ws281x: g: "00" +85600-85650 rgb_led_ws281x: r: "00" +85650-85699 rgb_led_ws281x: b: "7f" 85551-85699 rgb_led_ws281x: rgb: "#00007f" 85699-85705 rgb_led_ws281x: bit: "0" 85705-85712 rgb_led_ws281x: bit: "0" @@ -11648,6 +13043,9 @@ 85828-85835 rgb_led_ws281x: bit: "1" 85835-85841 rgb_led_ws281x: bit: "0" 85841-85847 rgb_led_ws281x: bit: "1" +85699-85748 rgb_led_ws281x: g: "00" +85748-85798 rgb_led_ws281x: r: "00" +85798-85847 rgb_led_ws281x: b: "fd" 85699-85847 rgb_led_ws281x: rgb: "#0000fd" 85847-85854 rgb_led_ws281x: bit: "0" 85854-85860 rgb_led_ws281x: bit: "0" @@ -11673,6 +13071,9 @@ 85977-85983 rgb_led_ws281x: bit: "0" 85983-85989 rgb_led_ws281x: bit: "1" 85989-85995 rgb_led_ws281x: bit: "1" +85847-85897 rgb_led_ws281x: g: "00" +85897-85946 rgb_led_ws281x: r: "00" +85946-85995 rgb_led_ws281x: b: "fb" 85847-85995 rgb_led_ws281x: rgb: "#0000fb" 85995-86002 rgb_led_ws281x: bit: "0" 86002-86008 rgb_led_ws281x: bit: "0" @@ -11698,6 +13099,9 @@ 86125-86131 rgb_led_ws281x: bit: "1" 86131-86137 rgb_led_ws281x: bit: "1" 86137-86144 rgb_led_ws281x: bit: "1" +85995-86045 rgb_led_ws281x: g: "00" +86045-86094 rgb_led_ws281x: r: "00" +86094-86144 rgb_led_ws281x: b: "f7" 85995-86144 rgb_led_ws281x: rgb: "#0000f7" 86144-86150 rgb_led_ws281x: bit: "0" 86150-86156 rgb_led_ws281x: bit: "0" @@ -11723,6 +13127,9 @@ 86273-86279 rgb_led_ws281x: bit: "1" 86279-86285 rgb_led_ws281x: bit: "1" 86285-86292 rgb_led_ws281x: bit: "1" +86144-86193 rgb_led_ws281x: g: "00" +86193-86242 rgb_led_ws281x: r: "00" +86242-86292 rgb_led_ws281x: b: "ef" 86144-86292 rgb_led_ws281x: rgb: "#0000ef" 86292-86298 rgb_led_ws281x: bit: "0" 86298-86304 rgb_led_ws281x: bit: "0" @@ -11748,6 +13155,9 @@ 86421-86427 rgb_led_ws281x: bit: "1" 86427-86433 rgb_led_ws281x: bit: "1" 86433-86440 rgb_led_ws281x: bit: "1" +86292-86341 rgb_led_ws281x: g: "00" +86341-86390 rgb_led_ws281x: r: "00" +86390-86440 rgb_led_ws281x: b: "ff" 86292-86440 rgb_led_ws281x: rgb: "#0000ff" 86440-86446 rgb_led_ws281x: bit: "0" 86446-86452 rgb_led_ws281x: bit: "0" @@ -11773,6 +13183,9 @@ 86569-86575 rgb_led_ws281x: bit: "1" 86575-86581 rgb_led_ws281x: bit: "1" 86581-86588 rgb_led_ws281x: bit: "1" +86440-86489 rgb_led_ws281x: g: "00" +86489-86538 rgb_led_ws281x: r: "00" +86538-86588 rgb_led_ws281x: b: "df" 86440-86588 rgb_led_ws281x: rgb: "#0000df" 86588-86594 rgb_led_ws281x: bit: "0" 86594-86600 rgb_led_ws281x: bit: "0" @@ -11798,6 +13211,9 @@ 86717-86723 rgb_led_ws281x: bit: "1" 86723-86730 rgb_led_ws281x: bit: "1" 86730-86736 rgb_led_ws281x: bit: "0" +86588-86637 rgb_led_ws281x: g: "00" +86637-86686 rgb_led_ws281x: r: "00" +86686-86736 rgb_led_ws281x: b: "be" 86588-86736 rgb_led_ws281x: rgb: "#0000be" 86736-86742 rgb_led_ws281x: bit: "0" 86742-86748 rgb_led_ws281x: bit: "0" @@ -11823,6 +13239,9 @@ 86865-86871 rgb_led_ws281x: bit: "1" 86871-86878 rgb_led_ws281x: bit: "1" 86878-86884 rgb_led_ws281x: bit: "1" +86736-86785 rgb_led_ws281x: g: "00" +86785-86835 rgb_led_ws281x: r: "00" +86835-86884 rgb_led_ws281x: b: "7f" 86736-86884 rgb_led_ws281x: rgb: "#00007f" 86884-86890 rgb_led_ws281x: bit: "0" 86890-86897 rgb_led_ws281x: bit: "0" @@ -11848,6 +13267,9 @@ 87013-87020 rgb_led_ws281x: bit: "1" 87020-87026 rgb_led_ws281x: bit: "0" 87026-87032 rgb_led_ws281x: bit: "1" +86884-86933 rgb_led_ws281x: g: "00" +86933-86983 rgb_led_ws281x: r: "00" +86983-87032 rgb_led_ws281x: b: "fd" 86884-87032 rgb_led_ws281x: rgb: "#0000fd" 87032-87039 rgb_led_ws281x: bit: "0" 87039-87045 rgb_led_ws281x: bit: "0" @@ -11873,6 +13295,9 @@ 87162-87168 rgb_led_ws281x: bit: "0" 87168-87174 rgb_led_ws281x: bit: "1" 87174-87180 rgb_led_ws281x: bit: "1" +87032-87082 rgb_led_ws281x: g: "00" +87082-87131 rgb_led_ws281x: r: "00" +87131-87180 rgb_led_ws281x: b: "fb" 87032-87180 rgb_led_ws281x: rgb: "#0000fb" 87180-87187 rgb_led_ws281x: bit: "0" 87187-87193 rgb_led_ws281x: bit: "0" @@ -11898,6 +13323,9 @@ 87310-87316 rgb_led_ws281x: bit: "1" 87316-87322 rgb_led_ws281x: bit: "1" 87322-87329 rgb_led_ws281x: bit: "1" +87180-87230 rgb_led_ws281x: g: "00" +87230-87279 rgb_led_ws281x: r: "00" +87279-87329 rgb_led_ws281x: b: "f7" 87180-87329 rgb_led_ws281x: rgb: "#0000f7" 87329-87335 rgb_led_ws281x: bit: "0" 87335-87341 rgb_led_ws281x: bit: "0" @@ -11923,6 +13351,9 @@ 87458-87464 rgb_led_ws281x: bit: "1" 87464-87470 rgb_led_ws281x: bit: "1" 87470-87477 rgb_led_ws281x: bit: "1" +87329-87378 rgb_led_ws281x: g: "00" +87378-87427 rgb_led_ws281x: r: "00" +87427-87477 rgb_led_ws281x: b: "ef" 87329-87477 rgb_led_ws281x: rgb: "#0000ef" 87477-87483 rgb_led_ws281x: bit: "0" 87483-87489 rgb_led_ws281x: bit: "0" @@ -11948,6 +13379,9 @@ 87606-87612 rgb_led_ws281x: bit: "1" 87612-87618 rgb_led_ws281x: bit: "1" 87618-87625 rgb_led_ws281x: bit: "1" +87477-87526 rgb_led_ws281x: g: "00" +87526-87575 rgb_led_ws281x: r: "00" +87575-87625 rgb_led_ws281x: b: "ff" 87477-87625 rgb_led_ws281x: rgb: "#0000ff" 87625-87631 rgb_led_ws281x: bit: "0" 87631-87637 rgb_led_ws281x: bit: "0" @@ -11973,6 +13407,9 @@ 87754-87760 rgb_led_ws281x: bit: "1" 87760-87766 rgb_led_ws281x: bit: "1" 87766-87773 rgb_led_ws281x: bit: "1" +87625-87674 rgb_led_ws281x: g: "00" +87674-87723 rgb_led_ws281x: r: "00" +87723-87773 rgb_led_ws281x: b: "ff" 87625-87773 rgb_led_ws281x: rgb: "#0000ff" 87773-87779 rgb_led_ws281x: bit: "0" 87779-87785 rgb_led_ws281x: bit: "0" @@ -11998,6 +13435,9 @@ 87902-87908 rgb_led_ws281x: bit: "1" 87908-87915 rgb_led_ws281x: bit: "1" 87915-87921 rgb_led_ws281x: bit: "0" +87773-87822 rgb_led_ws281x: g: "00" +87822-87871 rgb_led_ws281x: r: "00" +87871-87921 rgb_led_ws281x: b: "be" 87773-87921 rgb_led_ws281x: rgb: "#0000be" 87921-87927 rgb_led_ws281x: bit: "0" 87927-87933 rgb_led_ws281x: bit: "0" @@ -12023,6 +13463,9 @@ 88050-88057 rgb_led_ws281x: bit: "1" 88057-88063 rgb_led_ws281x: bit: "0" 88063-88069 rgb_led_ws281x: bit: "1" +87921-87970 rgb_led_ws281x: g: "00" +87970-88020 rgb_led_ws281x: r: "00" +88020-88069 rgb_led_ws281x: b: "7d" 87921-88069 rgb_led_ws281x: rgb: "#00007d" 88069-88075 rgb_led_ws281x: bit: "0" 88075-88082 rgb_led_ws281x: bit: "0" @@ -12048,6 +13491,9 @@ 88198-88205 rgb_led_ws281x: bit: "1" 88205-88211 rgb_led_ws281x: bit: "1" 88211-88217 rgb_led_ws281x: bit: "1" +88069-88118 rgb_led_ws281x: g: "00" +88118-88168 rgb_led_ws281x: r: "00" +88168-88217 rgb_led_ws281x: b: "ff" 88069-88217 rgb_led_ws281x: rgb: "#0000ff" 88217-88224 rgb_led_ws281x: bit: "0" 88224-88230 rgb_led_ws281x: bit: "0" @@ -12073,6 +13519,9 @@ 88347-88353 rgb_led_ws281x: bit: "0" 88353-88359 rgb_led_ws281x: bit: "1" 88359-88366 rgb_led_ws281x: bit: "1" +88217-88267 rgb_led_ws281x: g: "00" +88267-88316 rgb_led_ws281x: r: "00" +88316-88366 rgb_led_ws281x: b: "fb" 88217-88366 rgb_led_ws281x: rgb: "#0000fb" 88366-88372 rgb_led_ws281x: bit: "0" 88372-88378 rgb_led_ws281x: bit: "0" @@ -12098,6 +13547,9 @@ 88495-88501 rgb_led_ws281x: bit: "1" 88501-88507 rgb_led_ws281x: bit: "1" 88507-88514 rgb_led_ws281x: bit: "1" +88366-88415 rgb_led_ws281x: g: "00" +88415-88464 rgb_led_ws281x: r: "00" +88464-88514 rgb_led_ws281x: b: "f7" 88366-88514 rgb_led_ws281x: rgb: "#0000f7" 88514-88520 rgb_led_ws281x: bit: "0" 88520-88526 rgb_led_ws281x: bit: "0" @@ -12123,6 +13575,9 @@ 88643-88649 rgb_led_ws281x: bit: "1" 88649-88655 rgb_led_ws281x: bit: "1" 88655-88662 rgb_led_ws281x: bit: "1" +88514-88563 rgb_led_ws281x: g: "00" +88563-88612 rgb_led_ws281x: r: "00" +88612-88662 rgb_led_ws281x: b: "ef" 88514-88662 rgb_led_ws281x: rgb: "#0000ef" 88662-88668 rgb_led_ws281x: bit: "0" 88668-88674 rgb_led_ws281x: bit: "0" @@ -12148,6 +13603,9 @@ 88791-88797 rgb_led_ws281x: bit: "1" 88797-88803 rgb_led_ws281x: bit: "1" 88803-88810 rgb_led_ws281x: bit: "1" +88662-88711 rgb_led_ws281x: g: "00" +88711-88760 rgb_led_ws281x: r: "00" +88760-88810 rgb_led_ws281x: b: "df" 88662-88810 rgb_led_ws281x: rgb: "#0000df" 88810-88816 rgb_led_ws281x: bit: "0" 88816-88822 rgb_led_ws281x: bit: "0" @@ -12173,6 +13631,9 @@ 88939-88945 rgb_led_ws281x: bit: "1" 88945-88951 rgb_led_ws281x: bit: "1" 88951-88958 rgb_led_ws281x: bit: "1" +88810-88859 rgb_led_ws281x: g: "00" +88859-88908 rgb_led_ws281x: r: "00" +88908-88958 rgb_led_ws281x: b: "ff" 88810-88958 rgb_led_ws281x: rgb: "#0000ff" 88958-88964 rgb_led_ws281x: bit: "0" 88964-88970 rgb_led_ws281x: bit: "0" @@ -12198,6 +13659,9 @@ 89087-89093 rgb_led_ws281x: bit: "1" 89093-89100 rgb_led_ws281x: bit: "1" 89100-89106 rgb_led_ws281x: bit: "0" +88958-89007 rgb_led_ws281x: g: "00" +89007-89056 rgb_led_ws281x: r: "00" +89056-89106 rgb_led_ws281x: b: "be" 88958-89106 rgb_led_ws281x: rgb: "#0000be" 89106-89112 rgb_led_ws281x: bit: "0" 89112-89118 rgb_led_ws281x: bit: "0" @@ -12223,6 +13687,9 @@ 89235-89242 rgb_led_ws281x: bit: "1" 89242-89248 rgb_led_ws281x: bit: "0" 89248-89254 rgb_led_ws281x: bit: "1" +89106-89155 rgb_led_ws281x: g: "00" +89155-89205 rgb_led_ws281x: r: "00" +89205-89254 rgb_led_ws281x: b: "7d" 89106-89254 rgb_led_ws281x: rgb: "#00007d" 89254-89260 rgb_led_ws281x: bit: "0" 89260-89267 rgb_led_ws281x: bit: "0" @@ -12248,6 +13715,9 @@ 89383-89390 rgb_led_ws281x: bit: "1" 89390-89396 rgb_led_ws281x: bit: "1" 89396-89402 rgb_led_ws281x: bit: "1" +89254-89304 rgb_led_ws281x: g: "00" +89304-89353 rgb_led_ws281x: r: "00" +89353-89402 rgb_led_ws281x: b: "ff" 89254-89402 rgb_led_ws281x: rgb: "#0000ff" 89402-89409 rgb_led_ws281x: bit: "0" 89409-89415 rgb_led_ws281x: bit: "0" @@ -12273,6 +13743,9 @@ 89532-89538 rgb_led_ws281x: bit: "0" 89538-89544 rgb_led_ws281x: bit: "1" 89544-89551 rgb_led_ws281x: bit: "1" +89402-89452 rgb_led_ws281x: g: "00" +89452-89501 rgb_led_ws281x: r: "00" +89501-89551 rgb_led_ws281x: b: "fb" 89402-89551 rgb_led_ws281x: rgb: "#0000fb" 89551-89557 rgb_led_ws281x: bit: "0" 89557-89563 rgb_led_ws281x: bit: "0" @@ -12298,6 +13771,9 @@ 89680-89686 rgb_led_ws281x: bit: "1" 89686-89692 rgb_led_ws281x: bit: "1" 89692-89699 rgb_led_ws281x: bit: "1" +89551-89600 rgb_led_ws281x: g: "00" +89600-89649 rgb_led_ws281x: r: "00" +89649-89699 rgb_led_ws281x: b: "f7" 89551-89699 rgb_led_ws281x: rgb: "#0000f7" 89699-89705 rgb_led_ws281x: bit: "0" 89705-89711 rgb_led_ws281x: bit: "0" @@ -12323,6 +13799,9 @@ 89828-89834 rgb_led_ws281x: bit: "1" 89834-89840 rgb_led_ws281x: bit: "1" 89840-89847 rgb_led_ws281x: bit: "1" +89699-89748 rgb_led_ws281x: g: "00" +89748-89797 rgb_led_ws281x: r: "00" +89797-89847 rgb_led_ws281x: b: "ef" 89699-89847 rgb_led_ws281x: rgb: "#0000ef" 89847-89853 rgb_led_ws281x: bit: "0" 89853-89859 rgb_led_ws281x: bit: "0" @@ -12348,6 +13827,9 @@ 89976-89982 rgb_led_ws281x: bit: "1" 89982-89988 rgb_led_ws281x: bit: "1" 89988-89995 rgb_led_ws281x: bit: "1" +89847-89896 rgb_led_ws281x: g: "00" +89896-89945 rgb_led_ws281x: r: "00" +89945-89995 rgb_led_ws281x: b: "df" 89847-89995 rgb_led_ws281x: rgb: "#0000df" 89995-90001 rgb_led_ws281x: bit: "0" 90001-90007 rgb_led_ws281x: bit: "0" @@ -12373,6 +13855,9 @@ 90124-90130 rgb_led_ws281x: bit: "1" 90130-90136 rgb_led_ws281x: bit: "1" 90136-90143 rgb_led_ws281x: bit: "1" +89995-90044 rgb_led_ws281x: g: "00" +90044-90093 rgb_led_ws281x: r: "00" +90093-90143 rgb_led_ws281x: b: "bf" 89995-90143 rgb_led_ws281x: rgb: "#0000bf" 90143-90149 rgb_led_ws281x: bit: "0" 90149-90155 rgb_led_ws281x: bit: "0" @@ -12398,6 +13883,9 @@ 90272-90278 rgb_led_ws281x: bit: "1" 90278-90285 rgb_led_ws281x: bit: "1" 90285-90291 rgb_led_ws281x: bit: "0" +90143-90192 rgb_led_ws281x: g: "00" +90192-90241 rgb_led_ws281x: r: "00" +90241-90291 rgb_led_ws281x: b: "fe" 90143-90291 rgb_led_ws281x: rgb: "#0000fe" 90291-90297 rgb_led_ws281x: bit: "0" 90297-90303 rgb_led_ws281x: bit: "0" @@ -12423,6 +13911,9 @@ 90420-90427 rgb_led_ws281x: bit: "1" 90427-90433 rgb_led_ws281x: bit: "0" 90433-90439 rgb_led_ws281x: bit: "1" +90291-90340 rgb_led_ws281x: g: "00" +90340-90390 rgb_led_ws281x: r: "00" +90390-90439 rgb_led_ws281x: b: "7d" 90291-90439 rgb_led_ws281x: rgb: "#00007d" 90439-90445 rgb_led_ws281x: bit: "0" 90445-90452 rgb_led_ws281x: bit: "0" @@ -12448,6 +13939,9 @@ 90568-90575 rgb_led_ws281x: bit: "1" 90575-90581 rgb_led_ws281x: bit: "1" 90581-90587 rgb_led_ws281x: bit: "1" +90439-90489 rgb_led_ws281x: g: "00" +90489-90538 rgb_led_ws281x: r: "00" +90538-90587 rgb_led_ws281x: b: "ff" 90439-90587 rgb_led_ws281x: rgb: "#0000ff" 90587-90594 rgb_led_ws281x: bit: "0" 90594-90600 rgb_led_ws281x: bit: "0" @@ -12473,6 +13967,9 @@ 90717-90723 rgb_led_ws281x: bit: "1" 90723-90729 rgb_led_ws281x: bit: "1" 90729-90736 rgb_led_ws281x: bit: "1" +90587-90637 rgb_led_ws281x: g: "00" +90637-90686 rgb_led_ws281x: r: "00" +90686-90736 rgb_led_ws281x: b: "ff" 90587-90736 rgb_led_ws281x: rgb: "#0000ff" 90736-90742 rgb_led_ws281x: bit: "0" 90742-90748 rgb_led_ws281x: bit: "0" @@ -12498,6 +13995,9 @@ 90865-90871 rgb_led_ws281x: bit: "1" 90871-90877 rgb_led_ws281x: bit: "1" 90877-90884 rgb_led_ws281x: bit: "1" +90736-90785 rgb_led_ws281x: g: "00" +90785-90834 rgb_led_ws281x: r: "00" +90834-90884 rgb_led_ws281x: b: "f7" 90736-90884 rgb_led_ws281x: rgb: "#0000f7" 90884-90890 rgb_led_ws281x: bit: "0" 90890-90896 rgb_led_ws281x: bit: "0" @@ -12523,6 +14023,9 @@ 91013-91019 rgb_led_ws281x: bit: "1" 91019-91025 rgb_led_ws281x: bit: "1" 91025-91032 rgb_led_ws281x: bit: "1" +90884-90933 rgb_led_ws281x: g: "00" +90933-90982 rgb_led_ws281x: r: "00" +90982-91032 rgb_led_ws281x: b: "ef" 90884-91032 rgb_led_ws281x: rgb: "#0000ef" 91032-91038 rgb_led_ws281x: bit: "0" 91038-91044 rgb_led_ws281x: bit: "0" @@ -12548,6 +14051,9 @@ 91161-91167 rgb_led_ws281x: bit: "1" 91167-91173 rgb_led_ws281x: bit: "1" 91173-91180 rgb_led_ws281x: bit: "1" +91032-91081 rgb_led_ws281x: g: "00" +91081-91130 rgb_led_ws281x: r: "00" +91130-91180 rgb_led_ws281x: b: "df" 91032-91180 rgb_led_ws281x: rgb: "#0000df" 91180-91186 rgb_led_ws281x: bit: "0" 91186-91192 rgb_led_ws281x: bit: "0" @@ -12573,6 +14079,9 @@ 91309-91315 rgb_led_ws281x: bit: "1" 91315-91321 rgb_led_ws281x: bit: "1" 91321-91328 rgb_led_ws281x: bit: "1" +91180-91229 rgb_led_ws281x: g: "00" +91229-91278 rgb_led_ws281x: r: "00" +91278-91328 rgb_led_ws281x: b: "bf" 91180-91328 rgb_led_ws281x: rgb: "#0000bf" 91328-91334 rgb_led_ws281x: bit: "0" 91334-91340 rgb_led_ws281x: bit: "0" @@ -12598,6 +14107,9 @@ 91457-91463 rgb_led_ws281x: bit: "1" 91463-91470 rgb_led_ws281x: bit: "1" 91470-91476 rgb_led_ws281x: bit: "0" +91328-91377 rgb_led_ws281x: g: "00" +91377-91427 rgb_led_ws281x: r: "00" +91427-91476 rgb_led_ws281x: b: "7e" 91328-91476 rgb_led_ws281x: rgb: "#00007e" 91476-91482 rgb_led_ws281x: bit: "0" 91482-91488 rgb_led_ws281x: bit: "0" @@ -12623,6 +14135,9 @@ 91605-91612 rgb_led_ws281x: bit: "1" 91612-91618 rgb_led_ws281x: bit: "0" 91618-91624 rgb_led_ws281x: bit: "1" +91476-91525 rgb_led_ws281x: g: "00" +91525-91575 rgb_led_ws281x: r: "00" +91575-91624 rgb_led_ws281x: b: "7d" 91476-91624 rgb_led_ws281x: rgb: "#00007d" 91624-91630 rgb_led_ws281x: bit: "0" 91630-91637 rgb_led_ws281x: bit: "0" @@ -12648,6 +14163,9 @@ 91754-91760 rgb_led_ws281x: bit: "0" 91760-91766 rgb_led_ws281x: bit: "1" 91766-91772 rgb_led_ws281x: bit: "1" +91624-91674 rgb_led_ws281x: g: "00" +91674-91723 rgb_led_ws281x: r: "00" +91723-91772 rgb_led_ws281x: b: "fb" 91624-91772 rgb_led_ws281x: rgb: "#0000fb" 91772-91779 rgb_led_ws281x: bit: "0" 91779-91785 rgb_led_ws281x: bit: "0" @@ -12673,6 +14191,9 @@ 91902-91908 rgb_led_ws281x: bit: "1" 91908-91914 rgb_led_ws281x: bit: "1" 91914-91921 rgb_led_ws281x: bit: "1" +91772-91822 rgb_led_ws281x: g: "00" +91822-91871 rgb_led_ws281x: r: "00" +91871-91921 rgb_led_ws281x: b: "ff" 91772-91921 rgb_led_ws281x: rgb: "#0000ff" 91921-91927 rgb_led_ws281x: bit: "0" 91927-91933 rgb_led_ws281x: bit: "0" @@ -12698,6 +14219,9 @@ 92050-92056 rgb_led_ws281x: bit: "1" 92056-92062 rgb_led_ws281x: bit: "1" 92062-92069 rgb_led_ws281x: bit: "1" +91921-91970 rgb_led_ws281x: g: "00" +91970-92019 rgb_led_ws281x: r: "00" +92019-92069 rgb_led_ws281x: b: "f7" 91921-92069 rgb_led_ws281x: rgb: "#0000f7" 92069-92075 rgb_led_ws281x: bit: "0" 92075-92081 rgb_led_ws281x: bit: "0" @@ -12723,6 +14247,9 @@ 92198-92204 rgb_led_ws281x: bit: "1" 92204-92210 rgb_led_ws281x: bit: "1" 92210-92217 rgb_led_ws281x: bit: "1" +92069-92118 rgb_led_ws281x: g: "00" +92118-92167 rgb_led_ws281x: r: "00" +92167-92217 rgb_led_ws281x: b: "ef" 92069-92217 rgb_led_ws281x: rgb: "#0000ef" 92217-92223 rgb_led_ws281x: bit: "0" 92223-92229 rgb_led_ws281x: bit: "0" @@ -12747,6 +14274,9 @@ 92340-92346 rgb_led_ws281x: bit: "1" 92346-92352 rgb_led_ws281x: bit: "1" 92352-92358 rgb_led_ws281x: bit: "1" +92217-92266 rgb_led_ws281x: g: "00" +92266-92315 rgb_led_ws281x: r: "00" +92315-92362 rgb_led_ws281x: b: "df" 92217-92362 rgb_led_ws281x: rgb: "#0000df" 92358-92362 rgb_led_ws281x: bit: "1" 92362-92613 rgb_led_ws281x: reset: "RESET" "RST" "R" diff --git a/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch3.output b/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch3.output index 4503e22..2c49f54 100644 --- a/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch3.output +++ b/decoder/test/rgb_led_ws281x/ws281x_4ch_5mhz_snippet_ch3.output @@ -22,6 +22,9 @@ 5158-5164 rgb_led_ws281x: bit: "0" 5164-5170 rgb_led_ws281x: bit: "0" 5170-5177 rgb_led_ws281x: bit: "0" +5029-5078 rgb_led_ws281x: g: "00" +5078-5127 rgb_led_ws281x: r: "ff" +5127-5177 rgb_led_ws281x: b: "00" 5029-5177 rgb_led_ws281x: rgb: "#ff0000" 5177-5183 rgb_led_ws281x: bit: "0" 5183-5189 rgb_led_ws281x: bit: "0" @@ -47,6 +50,9 @@ 5306-5312 rgb_led_ws281x: bit: "0" 5312-5318 rgb_led_ws281x: bit: "0" 5318-5325 rgb_led_ws281x: bit: "0" +5177-5226 rgb_led_ws281x: g: "00" +5226-5275 rgb_led_ws281x: r: "ff" +5275-5325 rgb_led_ws281x: b: "00" 5177-5325 rgb_led_ws281x: rgb: "#ff0000" 5325-5331 rgb_led_ws281x: bit: "0" 5331-5337 rgb_led_ws281x: bit: "0" @@ -72,6 +78,9 @@ 5454-5460 rgb_led_ws281x: bit: "0" 5460-5466 rgb_led_ws281x: bit: "0" 5466-5473 rgb_led_ws281x: bit: "0" +5325-5374 rgb_led_ws281x: g: "00" +5374-5423 rgb_led_ws281x: r: "f7" +5423-5473 rgb_led_ws281x: b: "00" 5325-5473 rgb_led_ws281x: rgb: "#f70000" 5473-5479 rgb_led_ws281x: bit: "0" 5479-5485 rgb_led_ws281x: bit: "0" @@ -97,6 +106,9 @@ 5602-5608 rgb_led_ws281x: bit: "0" 5608-5614 rgb_led_ws281x: bit: "0" 5614-5621 rgb_led_ws281x: bit: "0" +5473-5522 rgb_led_ws281x: g: "00" +5522-5571 rgb_led_ws281x: r: "ef" +5571-5621 rgb_led_ws281x: b: "00" 5473-5621 rgb_led_ws281x: rgb: "#ef0000" 5621-5627 rgb_led_ws281x: bit: "0" 5627-5633 rgb_led_ws281x: bit: "0" @@ -122,6 +134,9 @@ 5750-5756 rgb_led_ws281x: bit: "0" 5756-5763 rgb_led_ws281x: bit: "0" 5763-5769 rgb_led_ws281x: bit: "0" +5621-5670 rgb_led_ws281x: g: "00" +5670-5719 rgb_led_ws281x: r: "df" +5719-5769 rgb_led_ws281x: b: "00" 5621-5769 rgb_led_ws281x: rgb: "#df0000" 5769-5775 rgb_led_ws281x: bit: "0" 5775-5781 rgb_led_ws281x: bit: "0" @@ -147,6 +162,9 @@ 5898-5905 rgb_led_ws281x: bit: "0" 5905-5911 rgb_led_ws281x: bit: "0" 5911-5917 rgb_led_ws281x: bit: "0" +5769-5818 rgb_led_ws281x: g: "00" +5818-5868 rgb_led_ws281x: r: "bf" +5868-5917 rgb_led_ws281x: b: "00" 5769-5917 rgb_led_ws281x: rgb: "#bf0000" 5917-5923 rgb_led_ws281x: bit: "0" 5923-5930 rgb_led_ws281x: bit: "0" @@ -172,6 +190,9 @@ 6046-6053 rgb_led_ws281x: bit: "0" 6053-6059 rgb_led_ws281x: bit: "0" 6059-6065 rgb_led_ws281x: bit: "0" +5917-5967 rgb_led_ws281x: g: "00" +5967-6016 rgb_led_ws281x: r: "7e" +6016-6065 rgb_led_ws281x: b: "00" 5917-6065 rgb_led_ws281x: rgb: "#7e0000" 6065-6072 rgb_led_ws281x: bit: "0" 6072-6078 rgb_led_ws281x: bit: "0" @@ -197,6 +218,9 @@ 6195-6201 rgb_led_ws281x: bit: "0" 6201-6207 rgb_led_ws281x: bit: "0" 6207-6214 rgb_led_ws281x: bit: "0" +6065-6115 rgb_led_ws281x: g: "00" +6115-6164 rgb_led_ws281x: r: "fd" +6164-6214 rgb_led_ws281x: b: "00" 6065-6214 rgb_led_ws281x: rgb: "#fd0000" 6214-6220 rgb_led_ws281x: bit: "0" 6220-6226 rgb_led_ws281x: bit: "0" @@ -222,6 +246,9 @@ 6343-6349 rgb_led_ws281x: bit: "0" 6349-6355 rgb_led_ws281x: bit: "0" 6355-6362 rgb_led_ws281x: bit: "0" +6214-6263 rgb_led_ws281x: g: "00" +6263-6312 rgb_led_ws281x: r: "fb" +6312-6362 rgb_led_ws281x: b: "00" 6214-6362 rgb_led_ws281x: rgb: "#fb0000" 6362-6368 rgb_led_ws281x: bit: "0" 6368-6374 rgb_led_ws281x: bit: "0" @@ -247,6 +274,9 @@ 6491-6497 rgb_led_ws281x: bit: "0" 6497-6503 rgb_led_ws281x: bit: "0" 6503-6510 rgb_led_ws281x: bit: "0" +6362-6411 rgb_led_ws281x: g: "00" +6411-6460 rgb_led_ws281x: r: "ff" +6460-6510 rgb_led_ws281x: b: "00" 6362-6510 rgb_led_ws281x: rgb: "#ff0000" 6510-6516 rgb_led_ws281x: bit: "0" 6516-6522 rgb_led_ws281x: bit: "0" @@ -272,6 +302,9 @@ 6639-6645 rgb_led_ws281x: bit: "0" 6645-6651 rgb_led_ws281x: bit: "0" 6651-6658 rgb_led_ws281x: bit: "0" +6510-6559 rgb_led_ws281x: g: "00" +6559-6608 rgb_led_ws281x: r: "ff" +6608-6658 rgb_led_ws281x: b: "00" 6510-6658 rgb_led_ws281x: rgb: "#ff0000" 6658-6664 rgb_led_ws281x: bit: "0" 6664-6670 rgb_led_ws281x: bit: "0" @@ -297,6 +330,9 @@ 6787-6793 rgb_led_ws281x: bit: "0" 6793-6799 rgb_led_ws281x: bit: "0" 6799-6806 rgb_led_ws281x: bit: "0" +6658-6707 rgb_led_ws281x: g: "00" +6707-6756 rgb_led_ws281x: r: "ef" +6756-6806 rgb_led_ws281x: b: "00" 6658-6806 rgb_led_ws281x: rgb: "#ef0000" 6806-6812 rgb_led_ws281x: bit: "0" 6812-6818 rgb_led_ws281x: bit: "0" @@ -322,6 +358,9 @@ 6935-6941 rgb_led_ws281x: bit: "0" 6941-6948 rgb_led_ws281x: bit: "0" 6948-6954 rgb_led_ws281x: bit: "0" +6806-6855 rgb_led_ws281x: g: "00" +6855-6904 rgb_led_ws281x: r: "df" +6904-6954 rgb_led_ws281x: b: "00" 6806-6954 rgb_led_ws281x: rgb: "#df0000" 6954-6960 rgb_led_ws281x: bit: "0" 6960-6966 rgb_led_ws281x: bit: "0" @@ -347,6 +386,9 @@ 7083-7090 rgb_led_ws281x: bit: "0" 7090-7096 rgb_led_ws281x: bit: "0" 7096-7102 rgb_led_ws281x: bit: "0" +6954-7003 rgb_led_ws281x: g: "00" +7003-7053 rgb_led_ws281x: r: "bf" +7053-7102 rgb_led_ws281x: b: "00" 6954-7102 rgb_led_ws281x: rgb: "#bf0000" 7102-7108 rgb_led_ws281x: bit: "0" 7108-7115 rgb_led_ws281x: bit: "0" @@ -372,6 +414,9 @@ 7232-7238 rgb_led_ws281x: bit: "0" 7238-7244 rgb_led_ws281x: bit: "0" 7244-7250 rgb_led_ws281x: bit: "0" +7102-7152 rgb_led_ws281x: g: "00" +7152-7201 rgb_led_ws281x: r: "7e" +7201-7250 rgb_led_ws281x: b: "00" 7102-7250 rgb_led_ws281x: rgb: "#7e0000" 7250-7257 rgb_led_ws281x: bit: "0" 7257-7263 rgb_led_ws281x: bit: "0" @@ -397,6 +442,9 @@ 7380-7386 rgb_led_ws281x: bit: "0" 7386-7392 rgb_led_ws281x: bit: "0" 7392-7399 rgb_led_ws281x: bit: "0" +7250-7300 rgb_led_ws281x: g: "00" +7300-7349 rgb_led_ws281x: r: "fd" +7349-7399 rgb_led_ws281x: b: "00" 7250-7399 rgb_led_ws281x: rgb: "#fd0000" 7399-7405 rgb_led_ws281x: bit: "0" 7405-7411 rgb_led_ws281x: bit: "0" @@ -422,6 +470,9 @@ 7528-7534 rgb_led_ws281x: bit: "0" 7534-7540 rgb_led_ws281x: bit: "0" 7540-7547 rgb_led_ws281x: bit: "0" +7399-7448 rgb_led_ws281x: g: "00" +7448-7497 rgb_led_ws281x: r: "fb" +7497-7547 rgb_led_ws281x: b: "00" 7399-7547 rgb_led_ws281x: rgb: "#fb0000" 7547-7553 rgb_led_ws281x: bit: "0" 7553-7559 rgb_led_ws281x: bit: "0" @@ -447,6 +498,9 @@ 7676-7682 rgb_led_ws281x: bit: "0" 7682-7688 rgb_led_ws281x: bit: "0" 7688-7695 rgb_led_ws281x: bit: "0" +7547-7596 rgb_led_ws281x: g: "00" +7596-7645 rgb_led_ws281x: r: "f7" +7645-7695 rgb_led_ws281x: b: "00" 7547-7695 rgb_led_ws281x: rgb: "#f70000" 7695-7701 rgb_led_ws281x: bit: "0" 7701-7707 rgb_led_ws281x: bit: "0" @@ -472,6 +526,9 @@ 7824-7830 rgb_led_ws281x: bit: "0" 7830-7836 rgb_led_ws281x: bit: "0" 7836-7843 rgb_led_ws281x: bit: "0" +7695-7744 rgb_led_ws281x: g: "00" +7744-7793 rgb_led_ws281x: r: "ff" +7793-7843 rgb_led_ws281x: b: "00" 7695-7843 rgb_led_ws281x: rgb: "#ff0000" 7843-7849 rgb_led_ws281x: bit: "0" 7849-7855 rgb_led_ws281x: bit: "0" @@ -497,6 +554,9 @@ 7972-7978 rgb_led_ws281x: bit: "0" 7978-7984 rgb_led_ws281x: bit: "0" 7984-7991 rgb_led_ws281x: bit: "0" +7843-7892 rgb_led_ws281x: g: "00" +7892-7941 rgb_led_ws281x: r: "ef" +7941-7991 rgb_led_ws281x: b: "00" 7843-7991 rgb_led_ws281x: rgb: "#ef0000" 7991-7997 rgb_led_ws281x: bit: "0" 7997-8003 rgb_led_ws281x: bit: "0" @@ -522,6 +582,9 @@ 8120-8126 rgb_led_ws281x: bit: "0" 8126-8133 rgb_led_ws281x: bit: "0" 8133-8139 rgb_led_ws281x: bit: "0" +7991-8040 rgb_led_ws281x: g: "00" +8040-8090 rgb_led_ws281x: r: "df" +8090-8139 rgb_led_ws281x: b: "00" 7991-8139 rgb_led_ws281x: rgb: "#df0000" 8139-8145 rgb_led_ws281x: bit: "0" 8145-8151 rgb_led_ws281x: bit: "0" @@ -547,6 +610,9 @@ 8268-8275 rgb_led_ws281x: bit: "0" 8275-8281 rgb_led_ws281x: bit: "0" 8281-8287 rgb_led_ws281x: bit: "0" +8139-8188 rgb_led_ws281x: g: "00" +8188-8238 rgb_led_ws281x: r: "bf" +8238-8287 rgb_led_ws281x: b: "00" 8139-8287 rgb_led_ws281x: rgb: "#bf0000" 8287-8293 rgb_led_ws281x: bit: "0" 8293-8300 rgb_led_ws281x: bit: "0" @@ -572,6 +638,9 @@ 8417-8423 rgb_led_ws281x: bit: "0" 8423-8429 rgb_led_ws281x: bit: "0" 8429-8435 rgb_led_ws281x: bit: "0" +8287-8337 rgb_led_ws281x: g: "00" +8337-8386 rgb_led_ws281x: r: "7f" +8386-8435 rgb_led_ws281x: b: "00" 8287-8435 rgb_led_ws281x: rgb: "#7f0000" 8435-8442 rgb_led_ws281x: bit: "0" 8442-8448 rgb_led_ws281x: bit: "0" @@ -597,6 +666,9 @@ 8565-8571 rgb_led_ws281x: bit: "0" 8571-8577 rgb_led_ws281x: bit: "0" 8577-8584 rgb_led_ws281x: bit: "0" +8435-8485 rgb_led_ws281x: g: "00" +8485-8534 rgb_led_ws281x: r: "fd" +8534-8584 rgb_led_ws281x: b: "00" 8435-8584 rgb_led_ws281x: rgb: "#fd0000" 8584-8590 rgb_led_ws281x: bit: "0" 8590-8596 rgb_led_ws281x: bit: "0" @@ -622,6 +694,9 @@ 8713-8719 rgb_led_ws281x: bit: "0" 8719-8725 rgb_led_ws281x: bit: "0" 8725-8732 rgb_led_ws281x: bit: "0" +8584-8633 rgb_led_ws281x: g: "00" +8633-8682 rgb_led_ws281x: r: "fb" +8682-8732 rgb_led_ws281x: b: "00" 8584-8732 rgb_led_ws281x: rgb: "#fb0000" 8732-8738 rgb_led_ws281x: bit: "0" 8738-8744 rgb_led_ws281x: bit: "0" @@ -647,6 +722,9 @@ 8861-8867 rgb_led_ws281x: bit: "0" 8867-8873 rgb_led_ws281x: bit: "0" 8873-8880 rgb_led_ws281x: bit: "0" +8732-8781 rgb_led_ws281x: g: "00" +8781-8830 rgb_led_ws281x: r: "f7" +8830-8880 rgb_led_ws281x: b: "00" 8732-8880 rgb_led_ws281x: rgb: "#f70000" 8880-8886 rgb_led_ws281x: bit: "0" 8886-8892 rgb_led_ws281x: bit: "0" @@ -672,6 +750,9 @@ 9009-9015 rgb_led_ws281x: bit: "0" 9015-9021 rgb_led_ws281x: bit: "0" 9021-9028 rgb_led_ws281x: bit: "0" +8880-8929 rgb_led_ws281x: g: "00" +8929-8978 rgb_led_ws281x: r: "ef" +8978-9028 rgb_led_ws281x: b: "00" 8880-9028 rgb_led_ws281x: rgb: "#ef0000" 9028-9034 rgb_led_ws281x: bit: "0" 9034-9040 rgb_led_ws281x: bit: "0" @@ -697,6 +778,9 @@ 9157-9163 rgb_led_ws281x: bit: "0" 9163-9169 rgb_led_ws281x: bit: "0" 9169-9176 rgb_led_ws281x: bit: "0" +9028-9077 rgb_led_ws281x: g: "00" +9077-9126 rgb_led_ws281x: r: "ff" +9126-9176 rgb_led_ws281x: b: "00" 9028-9176 rgb_led_ws281x: rgb: "#ff0000" 9176-9182 rgb_led_ws281x: bit: "0" 9182-9188 rgb_led_ws281x: bit: "0" @@ -722,6 +806,9 @@ 9305-9311 rgb_led_ws281x: bit: "0" 9311-9318 rgb_led_ws281x: bit: "0" 9318-9324 rgb_led_ws281x: bit: "0" +9176-9225 rgb_led_ws281x: g: "00" +9225-9275 rgb_led_ws281x: r: "df" +9275-9324 rgb_led_ws281x: b: "00" 9176-9324 rgb_led_ws281x: rgb: "#df0000" 9324-9330 rgb_led_ws281x: bit: "0" 9330-9337 rgb_led_ws281x: bit: "0" @@ -747,6 +834,9 @@ 9453-9460 rgb_led_ws281x: bit: "0" 9460-9466 rgb_led_ws281x: bit: "0" 9466-9472 rgb_led_ws281x: bit: "0" +9324-9373 rgb_led_ws281x: g: "00" +9373-9423 rgb_led_ws281x: r: "be" +9423-9472 rgb_led_ws281x: b: "00" 9324-9472 rgb_led_ws281x: rgb: "#be0000" 9472-9478 rgb_led_ws281x: bit: "0" 9478-9485 rgb_led_ws281x: bit: "0" @@ -772,6 +862,9 @@ 9602-9608 rgb_led_ws281x: bit: "0" 9608-9614 rgb_led_ws281x: bit: "0" 9614-9620 rgb_led_ws281x: bit: "0" +9472-9522 rgb_led_ws281x: g: "00" +9522-9571 rgb_led_ws281x: r: "7f" +9571-9620 rgb_led_ws281x: b: "00" 9472-9620 rgb_led_ws281x: rgb: "#7f0000" 9620-9627 rgb_led_ws281x: bit: "0" 9627-9633 rgb_led_ws281x: bit: "0" @@ -797,6 +890,9 @@ 9750-9756 rgb_led_ws281x: bit: "0" 9756-9762 rgb_led_ws281x: bit: "0" 9762-9769 rgb_led_ws281x: bit: "0" +9620-9670 rgb_led_ws281x: g: "00" +9670-9719 rgb_led_ws281x: r: "fd" +9719-9769 rgb_led_ws281x: b: "00" 9620-9769 rgb_led_ws281x: rgb: "#fd0000" 9769-9775 rgb_led_ws281x: bit: "0" 9775-9781 rgb_led_ws281x: bit: "0" @@ -822,6 +918,9 @@ 9898-9904 rgb_led_ws281x: bit: "0" 9904-9910 rgb_led_ws281x: bit: "0" 9910-9917 rgb_led_ws281x: bit: "0" +9769-9818 rgb_led_ws281x: g: "00" +9818-9867 rgb_led_ws281x: r: "fb" +9867-9917 rgb_led_ws281x: b: "00" 9769-9917 rgb_led_ws281x: rgb: "#fb0000" 9917-9923 rgb_led_ws281x: bit: "0" 9923-9929 rgb_led_ws281x: bit: "0" @@ -847,6 +946,9 @@ 10046-10052 rgb_led_ws281x: bit: "0" 10052-10058 rgb_led_ws281x: bit: "0" 10058-10065 rgb_led_ws281x: bit: "0" +9917-9966 rgb_led_ws281x: g: "00" +9966-10015 rgb_led_ws281x: r: "f7" +10015-10065 rgb_led_ws281x: b: "00" 9917-10065 rgb_led_ws281x: rgb: "#f70000" 10065-10071 rgb_led_ws281x: bit: "0" 10071-10077 rgb_led_ws281x: bit: "0" @@ -872,6 +974,9 @@ 10194-10200 rgb_led_ws281x: bit: "0" 10200-10206 rgb_led_ws281x: bit: "0" 10206-10213 rgb_led_ws281x: bit: "0" +10065-10114 rgb_led_ws281x: g: "00" +10114-10163 rgb_led_ws281x: r: "ef" +10163-10213 rgb_led_ws281x: b: "00" 10065-10213 rgb_led_ws281x: rgb: "#ef0000" 10213-10219 rgb_led_ws281x: bit: "0" 10219-10225 rgb_led_ws281x: bit: "0" @@ -897,6 +1002,9 @@ 10342-10348 rgb_led_ws281x: bit: "0" 10348-10354 rgb_led_ws281x: bit: "0" 10354-10361 rgb_led_ws281x: bit: "0" +10213-10262 rgb_led_ws281x: g: "00" +10262-10311 rgb_led_ws281x: r: "ff" +10311-10361 rgb_led_ws281x: b: "00" 10213-10361 rgb_led_ws281x: rgb: "#ff0000" 10361-10367 rgb_led_ws281x: bit: "0" 10367-10373 rgb_led_ws281x: bit: "0" @@ -922,6 +1030,9 @@ 10490-10496 rgb_led_ws281x: bit: "0" 10496-10503 rgb_led_ws281x: bit: "0" 10503-10509 rgb_led_ws281x: bit: "0" +10361-10410 rgb_led_ws281x: g: "00" +10410-10460 rgb_led_ws281x: r: "df" +10460-10509 rgb_led_ws281x: b: "00" 10361-10509 rgb_led_ws281x: rgb: "#df0000" 10509-10515 rgb_led_ws281x: bit: "0" 10515-10522 rgb_led_ws281x: bit: "0" @@ -947,6 +1058,9 @@ 10638-10645 rgb_led_ws281x: bit: "0" 10645-10651 rgb_led_ws281x: bit: "0" 10651-10657 rgb_led_ws281x: bit: "0" +10509-10558 rgb_led_ws281x: g: "00" +10558-10608 rgb_led_ws281x: r: "be" +10608-10657 rgb_led_ws281x: b: "00" 10509-10657 rgb_led_ws281x: rgb: "#be0000" 10657-10664 rgb_led_ws281x: bit: "0" 10664-10670 rgb_led_ws281x: bit: "0" @@ -972,6 +1086,9 @@ 10787-10793 rgb_led_ws281x: bit: "0" 10793-10799 rgb_led_ws281x: bit: "0" 10799-10805 rgb_led_ws281x: bit: "0" +10657-10707 rgb_led_ws281x: g: "00" +10707-10756 rgb_led_ws281x: r: "7f" +10756-10805 rgb_led_ws281x: b: "00" 10657-10805 rgb_led_ws281x: rgb: "#7f0000" 10805-10812 rgb_led_ws281x: bit: "0" 10812-10818 rgb_led_ws281x: bit: "0" @@ -997,6 +1114,9 @@ 10935-10941 rgb_led_ws281x: bit: "0" 10941-10947 rgb_led_ws281x: bit: "0" 10947-10954 rgb_led_ws281x: bit: "0" +10805-10855 rgb_led_ws281x: g: "00" +10855-10904 rgb_led_ws281x: r: "ff" +10904-10954 rgb_led_ws281x: b: "00" 10805-10954 rgb_led_ws281x: rgb: "#ff0000" 10954-10960 rgb_led_ws281x: bit: "0" 10960-10966 rgb_led_ws281x: bit: "0" @@ -1022,6 +1142,9 @@ 11083-11089 rgb_led_ws281x: bit: "0" 11089-11095 rgb_led_ws281x: bit: "0" 11095-11102 rgb_led_ws281x: bit: "0" +10954-11003 rgb_led_ws281x: g: "00" +11003-11052 rgb_led_ws281x: r: "fb" +11052-11102 rgb_led_ws281x: b: "00" 10954-11102 rgb_led_ws281x: rgb: "#fb0000" 11102-11108 rgb_led_ws281x: bit: "0" 11108-11114 rgb_led_ws281x: bit: "0" @@ -1047,6 +1170,9 @@ 11231-11237 rgb_led_ws281x: bit: "0" 11237-11243 rgb_led_ws281x: bit: "0" 11243-11250 rgb_led_ws281x: bit: "0" +11102-11151 rgb_led_ws281x: g: "00" +11151-11200 rgb_led_ws281x: r: "f7" +11200-11250 rgb_led_ws281x: b: "00" 11102-11250 rgb_led_ws281x: rgb: "#f70000" 11250-11256 rgb_led_ws281x: bit: "0" 11256-11262 rgb_led_ws281x: bit: "0" @@ -1072,6 +1198,9 @@ 11379-11385 rgb_led_ws281x: bit: "0" 11385-11391 rgb_led_ws281x: bit: "0" 11391-11398 rgb_led_ws281x: bit: "0" +11250-11299 rgb_led_ws281x: g: "00" +11299-11348 rgb_led_ws281x: r: "ef" +11348-11398 rgb_led_ws281x: b: "00" 11250-11398 rgb_led_ws281x: rgb: "#ef0000" 11398-11404 rgb_led_ws281x: bit: "0" 11404-11410 rgb_led_ws281x: bit: "0" @@ -1097,6 +1226,9 @@ 11527-11533 rgb_led_ws281x: bit: "0" 11533-11540 rgb_led_ws281x: bit: "0" 11540-11546 rgb_led_ws281x: bit: "0" +11398-11447 rgb_led_ws281x: g: "00" +11447-11496 rgb_led_ws281x: r: "df" +11496-11546 rgb_led_ws281x: b: "00" 11398-11546 rgb_led_ws281x: rgb: "#df0000" 11546-11552 rgb_led_ws281x: bit: "0" 11552-11558 rgb_led_ws281x: bit: "0" @@ -1122,6 +1254,9 @@ 11675-11681 rgb_led_ws281x: bit: "0" 11681-11688 rgb_led_ws281x: bit: "0" 11688-11694 rgb_led_ws281x: bit: "0" +11546-11595 rgb_led_ws281x: g: "00" +11595-11645 rgb_led_ws281x: r: "ff" +11645-11694 rgb_led_ws281x: b: "00" 11546-11694 rgb_led_ws281x: rgb: "#ff0000" 11694-11700 rgb_led_ws281x: bit: "0" 11700-11707 rgb_led_ws281x: bit: "0" @@ -1147,6 +1282,9 @@ 11823-11830 rgb_led_ws281x: bit: "0" 11830-11836 rgb_led_ws281x: bit: "0" 11836-11842 rgb_led_ws281x: bit: "0" +11694-11743 rgb_led_ws281x: g: "00" +11743-11793 rgb_led_ws281x: r: "be" +11793-11842 rgb_led_ws281x: b: "00" 11694-11842 rgb_led_ws281x: rgb: "#be0000" 11842-11849 rgb_led_ws281x: bit: "0" 11849-11855 rgb_led_ws281x: bit: "0" @@ -1172,6 +1310,9 @@ 11972-11978 rgb_led_ws281x: bit: "0" 11978-11984 rgb_led_ws281x: bit: "0" 11984-11991 rgb_led_ws281x: bit: "0" +11842-11892 rgb_led_ws281x: g: "00" +11892-11941 rgb_led_ws281x: r: "7d" +11941-11991 rgb_led_ws281x: b: "00" 11842-11991 rgb_led_ws281x: rgb: "#7d0000" 11991-11997 rgb_led_ws281x: bit: "0" 11997-12003 rgb_led_ws281x: bit: "0" @@ -1197,6 +1338,9 @@ 12120-12126 rgb_led_ws281x: bit: "0" 12126-12132 rgb_led_ws281x: bit: "0" 12132-12139 rgb_led_ws281x: bit: "0" +11991-12040 rgb_led_ws281x: g: "00" +12040-12089 rgb_led_ws281x: r: "ff" +12089-12139 rgb_led_ws281x: b: "00" 11991-12139 rgb_led_ws281x: rgb: "#ff0000" 12139-12145 rgb_led_ws281x: bit: "0" 12145-12151 rgb_led_ws281x: bit: "0" @@ -1222,6 +1366,9 @@ 12268-12274 rgb_led_ws281x: bit: "0" 12274-12280 rgb_led_ws281x: bit: "0" 12280-12287 rgb_led_ws281x: bit: "0" +12139-12188 rgb_led_ws281x: g: "00" +12188-12237 rgb_led_ws281x: r: "ff" +12237-12287 rgb_led_ws281x: b: "00" 12139-12287 rgb_led_ws281x: rgb: "#ff0000" 12287-12293 rgb_led_ws281x: bit: "0" 12293-12299 rgb_led_ws281x: bit: "0" @@ -1247,6 +1394,9 @@ 12416-12422 rgb_led_ws281x: bit: "0" 12422-12428 rgb_led_ws281x: bit: "0" 12428-12435 rgb_led_ws281x: bit: "0" +12287-12336 rgb_led_ws281x: g: "00" +12336-12385 rgb_led_ws281x: r: "f7" +12385-12435 rgb_led_ws281x: b: "00" 12287-12435 rgb_led_ws281x: rgb: "#f70000" 12435-12441 rgb_led_ws281x: bit: "0" 12441-12447 rgb_led_ws281x: bit: "0" @@ -1272,6 +1422,9 @@ 12564-12570 rgb_led_ws281x: bit: "0" 12570-12576 rgb_led_ws281x: bit: "0" 12576-12583 rgb_led_ws281x: bit: "0" +12435-12484 rgb_led_ws281x: g: "00" +12484-12533 rgb_led_ws281x: r: "ef" +12533-12583 rgb_led_ws281x: b: "00" 12435-12583 rgb_led_ws281x: rgb: "#ef0000" 12583-12589 rgb_led_ws281x: bit: "0" 12589-12595 rgb_led_ws281x: bit: "0" @@ -1297,6 +1450,9 @@ 12712-12718 rgb_led_ws281x: bit: "0" 12718-12725 rgb_led_ws281x: bit: "0" 12725-12731 rgb_led_ws281x: bit: "0" +12583-12632 rgb_led_ws281x: g: "00" +12632-12681 rgb_led_ws281x: r: "df" +12681-12731 rgb_led_ws281x: b: "00" 12583-12731 rgb_led_ws281x: rgb: "#df0000" 12731-12737 rgb_led_ws281x: bit: "0" 12737-12743 rgb_led_ws281x: bit: "0" @@ -1322,6 +1478,9 @@ 12860-12866 rgb_led_ws281x: bit: "0" 12866-12873 rgb_led_ws281x: bit: "0" 12873-12879 rgb_led_ws281x: bit: "0" +12731-12780 rgb_led_ws281x: g: "00" +12780-12830 rgb_led_ws281x: r: "ff" +12830-12879 rgb_led_ws281x: b: "00" 12731-12879 rgb_led_ws281x: rgb: "#ff0000" 12879-12885 rgb_led_ws281x: bit: "0" 12885-12892 rgb_led_ws281x: bit: "0" @@ -1347,6 +1506,9 @@ 13008-13015 rgb_led_ws281x: bit: "0" 13015-13021 rgb_led_ws281x: bit: "0" 13021-13027 rgb_led_ws281x: bit: "0" +12879-12928 rgb_led_ws281x: g: "00" +12928-12978 rgb_led_ws281x: r: "be" +12978-13027 rgb_led_ws281x: b: "00" 12879-13027 rgb_led_ws281x: rgb: "#be0000" 13027-13034 rgb_led_ws281x: bit: "0" 13034-13040 rgb_led_ws281x: bit: "0" @@ -1372,6 +1534,9 @@ 13157-13163 rgb_led_ws281x: bit: "0" 13163-13169 rgb_led_ws281x: bit: "0" 13169-13176 rgb_led_ws281x: bit: "0" +13027-13077 rgb_led_ws281x: g: "00" +13077-13126 rgb_led_ws281x: r: "7d" +13126-13176 rgb_led_ws281x: b: "00" 13027-13176 rgb_led_ws281x: rgb: "#7d0000" 13176-13182 rgb_led_ws281x: bit: "0" 13182-13188 rgb_led_ws281x: bit: "0" @@ -1397,6 +1562,9 @@ 13305-13311 rgb_led_ws281x: bit: "0" 13311-13317 rgb_led_ws281x: bit: "0" 13317-13324 rgb_led_ws281x: bit: "0" +13176-13225 rgb_led_ws281x: g: "00" +13225-13274 rgb_led_ws281x: r: "ff" +13274-13324 rgb_led_ws281x: b: "00" 13176-13324 rgb_led_ws281x: rgb: "#ff0000" 13324-13330 rgb_led_ws281x: bit: "0" 13330-13336 rgb_led_ws281x: bit: "0" @@ -1422,6 +1590,9 @@ 13453-13459 rgb_led_ws281x: bit: "0" 13459-13465 rgb_led_ws281x: bit: "0" 13465-13472 rgb_led_ws281x: bit: "0" +13324-13373 rgb_led_ws281x: g: "00" +13373-13422 rgb_led_ws281x: r: "fb" +13422-13472 rgb_led_ws281x: b: "00" 13324-13472 rgb_led_ws281x: rgb: "#fb0000" 13472-13478 rgb_led_ws281x: bit: "0" 13478-13484 rgb_led_ws281x: bit: "0" @@ -1447,6 +1618,9 @@ 13601-13607 rgb_led_ws281x: bit: "0" 13607-13613 rgb_led_ws281x: bit: "0" 13613-13620 rgb_led_ws281x: bit: "0" +13472-13521 rgb_led_ws281x: g: "00" +13521-13570 rgb_led_ws281x: r: "f7" +13570-13620 rgb_led_ws281x: b: "00" 13472-13620 rgb_led_ws281x: rgb: "#f70000" 13620-13626 rgb_led_ws281x: bit: "0" 13626-13632 rgb_led_ws281x: bit: "0" @@ -1472,6 +1646,9 @@ 13749-13755 rgb_led_ws281x: bit: "0" 13755-13761 rgb_led_ws281x: bit: "0" 13761-13768 rgb_led_ws281x: bit: "0" +13620-13669 rgb_led_ws281x: g: "00" +13669-13718 rgb_led_ws281x: r: "ef" +13718-13768 rgb_led_ws281x: b: "00" 13620-13768 rgb_led_ws281x: rgb: "#ef0000" 13768-13774 rgb_led_ws281x: bit: "0" 13774-13780 rgb_led_ws281x: bit: "0" @@ -1497,6 +1674,9 @@ 13897-13903 rgb_led_ws281x: bit: "0" 13903-13910 rgb_led_ws281x: bit: "0" 13910-13916 rgb_led_ws281x: bit: "0" +13768-13817 rgb_led_ws281x: g: "00" +13817-13866 rgb_led_ws281x: r: "df" +13866-13916 rgb_led_ws281x: b: "00" 13768-13916 rgb_led_ws281x: rgb: "#df0000" 13916-13922 rgb_led_ws281x: bit: "0" 13922-13928 rgb_led_ws281x: bit: "0" @@ -1522,6 +1702,9 @@ 14045-14052 rgb_led_ws281x: bit: "0" 14052-14058 rgb_led_ws281x: bit: "0" 14058-14064 rgb_led_ws281x: bit: "0" +13916-13965 rgb_led_ws281x: g: "00" +13965-14015 rgb_led_ws281x: r: "bf" +14015-14064 rgb_led_ws281x: b: "00" 13916-14064 rgb_led_ws281x: rgb: "#bf0000" 14064-14070 rgb_led_ws281x: bit: "0" 14070-14077 rgb_led_ws281x: bit: "0" @@ -1547,6 +1730,9 @@ 14193-14200 rgb_led_ws281x: bit: "0" 14200-14206 rgb_led_ws281x: bit: "0" 14206-14212 rgb_led_ws281x: bit: "0" +14064-14114 rgb_led_ws281x: g: "00" +14114-14163 rgb_led_ws281x: r: "7e" +14163-14212 rgb_led_ws281x: b: "00" 14064-14212 rgb_led_ws281x: rgb: "#7e0000" 14212-14219 rgb_led_ws281x: bit: "0" 14219-14225 rgb_led_ws281x: bit: "0" @@ -1572,6 +1758,9 @@ 14342-14348 rgb_led_ws281x: bit: "0" 14348-14354 rgb_led_ws281x: bit: "0" 14354-14361 rgb_led_ws281x: bit: "0" +14212-14262 rgb_led_ws281x: g: "00" +14262-14311 rgb_led_ws281x: r: "fd" +14311-14361 rgb_led_ws281x: b: "00" 14212-14361 rgb_led_ws281x: rgb: "#fd0000" 14361-14367 rgb_led_ws281x: bit: "0" 14367-14373 rgb_led_ws281x: bit: "0" @@ -1597,6 +1786,9 @@ 14490-14496 rgb_led_ws281x: bit: "0" 14496-14502 rgb_led_ws281x: bit: "0" 14502-14509 rgb_led_ws281x: bit: "0" +14361-14410 rgb_led_ws281x: g: "00" +14410-14459 rgb_led_ws281x: r: "fb" +14459-14509 rgb_led_ws281x: b: "00" 14361-14509 rgb_led_ws281x: rgb: "#fb0000" 14509-14515 rgb_led_ws281x: bit: "0" 14515-14521 rgb_led_ws281x: bit: "0" @@ -1622,6 +1814,9 @@ 14638-14644 rgb_led_ws281x: bit: "0" 14644-14650 rgb_led_ws281x: bit: "0" 14650-14657 rgb_led_ws281x: bit: "0" +14509-14558 rgb_led_ws281x: g: "00" +14558-14607 rgb_led_ws281x: r: "ff" +14607-14657 rgb_led_ws281x: b: "00" 14509-14657 rgb_led_ws281x: rgb: "#ff0000" 14657-14663 rgb_led_ws281x: bit: "0" 14663-14669 rgb_led_ws281x: bit: "0" @@ -1647,6 +1842,9 @@ 14786-14792 rgb_led_ws281x: bit: "0" 14792-14798 rgb_led_ws281x: bit: "0" 14798-14805 rgb_led_ws281x: bit: "0" +14657-14706 rgb_led_ws281x: g: "00" +14706-14755 rgb_led_ws281x: r: "f7" +14755-14805 rgb_led_ws281x: b: "00" 14657-14805 rgb_led_ws281x: rgb: "#f70000" 14805-14811 rgb_led_ws281x: bit: "0" 14811-14817 rgb_led_ws281x: bit: "0" @@ -1672,6 +1870,9 @@ 14934-14940 rgb_led_ws281x: bit: "0" 14940-14946 rgb_led_ws281x: bit: "0" 14946-14953 rgb_led_ws281x: bit: "0" +14805-14854 rgb_led_ws281x: g: "00" +14854-14903 rgb_led_ws281x: r: "ef" +14903-14953 rgb_led_ws281x: b: "00" 14805-14953 rgb_led_ws281x: rgb: "#ef0000" 14953-14959 rgb_led_ws281x: bit: "0" 14959-14965 rgb_led_ws281x: bit: "0" @@ -1697,6 +1898,9 @@ 15082-15088 rgb_led_ws281x: bit: "0" 15088-15095 rgb_led_ws281x: bit: "0" 15095-15101 rgb_led_ws281x: bit: "0" +14953-15002 rgb_led_ws281x: g: "00" +15002-15051 rgb_led_ws281x: r: "df" +15051-15101 rgb_led_ws281x: b: "00" 14953-15101 rgb_led_ws281x: rgb: "#df0000" 15101-15107 rgb_led_ws281x: bit: "0" 15107-15113 rgb_led_ws281x: bit: "0" @@ -1722,6 +1926,9 @@ 15230-15237 rgb_led_ws281x: bit: "0" 15237-15243 rgb_led_ws281x: bit: "0" 15243-15249 rgb_led_ws281x: bit: "0" +15101-15150 rgb_led_ws281x: g: "00" +15150-15200 rgb_led_ws281x: r: "bf" +15200-15249 rgb_led_ws281x: b: "00" 15101-15249 rgb_led_ws281x: rgb: "#bf0000" 15249-15255 rgb_led_ws281x: bit: "0" 15255-15262 rgb_led_ws281x: bit: "0" @@ -1747,6 +1954,9 @@ 15378-15385 rgb_led_ws281x: bit: "0" 15385-15391 rgb_led_ws281x: bit: "0" 15391-15397 rgb_led_ws281x: bit: "0" +15249-15299 rgb_led_ws281x: g: "00" +15299-15348 rgb_led_ws281x: r: "7e" +15348-15397 rgb_led_ws281x: b: "00" 15249-15397 rgb_led_ws281x: rgb: "#7e0000" 15397-15404 rgb_led_ws281x: bit: "0" 15404-15410 rgb_led_ws281x: bit: "0" @@ -1772,6 +1982,9 @@ 15527-15533 rgb_led_ws281x: bit: "0" 15533-15539 rgb_led_ws281x: bit: "0" 15539-15546 rgb_led_ws281x: bit: "0" +15397-15447 rgb_led_ws281x: g: "00" +15447-15496 rgb_led_ws281x: r: "fd" +15496-15546 rgb_led_ws281x: b: "00" 15397-15546 rgb_led_ws281x: rgb: "#fd0000" 15546-15552 rgb_led_ws281x: bit: "0" 15552-15558 rgb_led_ws281x: bit: "0" @@ -1797,6 +2010,9 @@ 15675-15681 rgb_led_ws281x: bit: "0" 15681-15687 rgb_led_ws281x: bit: "0" 15687-15694 rgb_led_ws281x: bit: "0" +15546-15595 rgb_led_ws281x: g: "00" +15595-15644 rgb_led_ws281x: r: "fb" +15644-15694 rgb_led_ws281x: b: "00" 15546-15694 rgb_led_ws281x: rgb: "#fb0000" 15694-15700 rgb_led_ws281x: bit: "0" 15700-15706 rgb_led_ws281x: bit: "0" @@ -1822,6 +2038,9 @@ 15823-15829 rgb_led_ws281x: bit: "0" 15829-15835 rgb_led_ws281x: bit: "0" 15835-15842 rgb_led_ws281x: bit: "0" +15694-15743 rgb_led_ws281x: g: "00" +15743-15792 rgb_led_ws281x: r: "ff" +15792-15842 rgb_led_ws281x: b: "00" 15694-15842 rgb_led_ws281x: rgb: "#ff0000" 15842-15848 rgb_led_ws281x: bit: "0" 15848-15854 rgb_led_ws281x: bit: "0" @@ -1847,6 +2066,9 @@ 15971-15977 rgb_led_ws281x: bit: "0" 15977-15983 rgb_led_ws281x: bit: "0" 15983-15990 rgb_led_ws281x: bit: "0" +15842-15891 rgb_led_ws281x: g: "00" +15891-15940 rgb_led_ws281x: r: "ff" +15940-15990 rgb_led_ws281x: b: "00" 15842-15990 rgb_led_ws281x: rgb: "#ff0000" 15990-15996 rgb_led_ws281x: bit: "0" 15996-16002 rgb_led_ws281x: bit: "0" @@ -1872,6 +2094,9 @@ 16119-16125 rgb_led_ws281x: bit: "0" 16125-16131 rgb_led_ws281x: bit: "0" 16131-16138 rgb_led_ws281x: bit: "0" +15990-16039 rgb_led_ws281x: g: "00" +16039-16088 rgb_led_ws281x: r: "ef" +16088-16138 rgb_led_ws281x: b: "00" 15990-16138 rgb_led_ws281x: rgb: "#ef0000" 16138-16144 rgb_led_ws281x: bit: "0" 16144-16150 rgb_led_ws281x: bit: "0" @@ -1897,6 +2122,9 @@ 16267-16273 rgb_led_ws281x: bit: "0" 16273-16280 rgb_led_ws281x: bit: "0" 16280-16286 rgb_led_ws281x: bit: "0" +16138-16187 rgb_led_ws281x: g: "00" +16187-16237 rgb_led_ws281x: r: "df" +16237-16286 rgb_led_ws281x: b: "00" 16138-16286 rgb_led_ws281x: rgb: "#df0000" 16286-16292 rgb_led_ws281x: bit: "0" 16292-16298 rgb_led_ws281x: bit: "0" @@ -1922,6 +2150,9 @@ 16415-16422 rgb_led_ws281x: bit: "0" 16422-16428 rgb_led_ws281x: bit: "0" 16428-16434 rgb_led_ws281x: bit: "0" +16286-16335 rgb_led_ws281x: g: "00" +16335-16385 rgb_led_ws281x: r: "bf" +16385-16434 rgb_led_ws281x: b: "00" 16286-16434 rgb_led_ws281x: rgb: "#bf0000" 16434-16440 rgb_led_ws281x: bit: "0" 16440-16447 rgb_led_ws281x: bit: "0" @@ -1947,6 +2178,9 @@ 16564-16570 rgb_led_ws281x: bit: "0" 16570-16576 rgb_led_ws281x: bit: "0" 16576-16582 rgb_led_ws281x: bit: "0" +16434-16484 rgb_led_ws281x: g: "00" +16484-16533 rgb_led_ws281x: r: "7e" +16533-16582 rgb_led_ws281x: b: "00" 16434-16582 rgb_led_ws281x: rgb: "#7e0000" 16582-16589 rgb_led_ws281x: bit: "0" 16589-16595 rgb_led_ws281x: bit: "0" @@ -1972,6 +2206,9 @@ 16712-16718 rgb_led_ws281x: bit: "0" 16718-16724 rgb_led_ws281x: bit: "0" 16724-16731 rgb_led_ws281x: bit: "0" +16582-16632 rgb_led_ws281x: g: "00" +16632-16681 rgb_led_ws281x: r: "fd" +16681-16731 rgb_led_ws281x: b: "00" 16582-16731 rgb_led_ws281x: rgb: "#fd0000" 16731-16737 rgb_led_ws281x: bit: "0" 16737-16743 rgb_led_ws281x: bit: "0" @@ -1997,6 +2234,9 @@ 16860-16866 rgb_led_ws281x: bit: "0" 16866-16872 rgb_led_ws281x: bit: "0" 16872-16879 rgb_led_ws281x: bit: "0" +16731-16780 rgb_led_ws281x: g: "00" +16780-16829 rgb_led_ws281x: r: "fb" +16829-16879 rgb_led_ws281x: b: "00" 16731-16879 rgb_led_ws281x: rgb: "#fb0000" 16879-16885 rgb_led_ws281x: bit: "0" 16885-16891 rgb_led_ws281x: bit: "0" @@ -2022,6 +2262,9 @@ 17008-17014 rgb_led_ws281x: bit: "0" 17014-17020 rgb_led_ws281x: bit: "0" 17020-17027 rgb_led_ws281x: bit: "0" +16879-16928 rgb_led_ws281x: g: "00" +16928-16977 rgb_led_ws281x: r: "ff" +16977-17027 rgb_led_ws281x: b: "00" 16879-17027 rgb_led_ws281x: rgb: "#ff0000" 17027-17033 rgb_led_ws281x: bit: "0" 17033-17039 rgb_led_ws281x: bit: "0" @@ -2047,6 +2290,9 @@ 17156-17162 rgb_led_ws281x: bit: "0" 17162-17168 rgb_led_ws281x: bit: "0" 17168-17175 rgb_led_ws281x: bit: "0" +17027-17076 rgb_led_ws281x: g: "00" +17076-17125 rgb_led_ws281x: r: "ff" +17125-17175 rgb_led_ws281x: b: "00" 17027-17175 rgb_led_ws281x: rgb: "#ff0000" 17175-17181 rgb_led_ws281x: bit: "0" 17181-17187 rgb_led_ws281x: bit: "0" @@ -2072,6 +2318,9 @@ 17304-17310 rgb_led_ws281x: bit: "0" 17310-17316 rgb_led_ws281x: bit: "0" 17316-17323 rgb_led_ws281x: bit: "0" +17175-17224 rgb_led_ws281x: g: "00" +17224-17273 rgb_led_ws281x: r: "ef" +17273-17323 rgb_led_ws281x: b: "00" 17175-17323 rgb_led_ws281x: rgb: "#ef0000" 17323-17329 rgb_led_ws281x: bit: "0" 17329-17335 rgb_led_ws281x: bit: "0" @@ -2097,6 +2346,9 @@ 17452-17458 rgb_led_ws281x: bit: "0" 17458-17465 rgb_led_ws281x: bit: "0" 17465-17471 rgb_led_ws281x: bit: "0" +17323-17372 rgb_led_ws281x: g: "00" +17372-17422 rgb_led_ws281x: r: "df" +17422-17471 rgb_led_ws281x: b: "00" 17323-17471 rgb_led_ws281x: rgb: "#df0000" 17471-17477 rgb_led_ws281x: bit: "0" 17477-17483 rgb_led_ws281x: bit: "0" @@ -2122,6 +2374,9 @@ 17600-17607 rgb_led_ws281x: bit: "0" 17607-17613 rgb_led_ws281x: bit: "0" 17613-17619 rgb_led_ws281x: bit: "0" +17471-17520 rgb_led_ws281x: g: "00" +17520-17570 rgb_led_ws281x: r: "bf" +17570-17619 rgb_led_ws281x: b: "00" 17471-17619 rgb_led_ws281x: rgb: "#bf0000" 17619-17625 rgb_led_ws281x: bit: "0" 17625-17632 rgb_led_ws281x: bit: "0" @@ -2147,6 +2402,9 @@ 17749-17755 rgb_led_ws281x: bit: "0" 17755-17761 rgb_led_ws281x: bit: "0" 17761-17767 rgb_led_ws281x: bit: "0" +17619-17669 rgb_led_ws281x: g: "00" +17669-17718 rgb_led_ws281x: r: "7e" +17718-17767 rgb_led_ws281x: b: "00" 17619-17767 rgb_led_ws281x: rgb: "#7e0000" 17767-17774 rgb_led_ws281x: bit: "0" 17774-17780 rgb_led_ws281x: bit: "0" @@ -2172,6 +2430,9 @@ 17897-17903 rgb_led_ws281x: bit: "0" 17903-17909 rgb_led_ws281x: bit: "0" 17909-17916 rgb_led_ws281x: bit: "0" +17767-17817 rgb_led_ws281x: g: "00" +17817-17866 rgb_led_ws281x: r: "fd" +17866-17916 rgb_led_ws281x: b: "00" 17767-17916 rgb_led_ws281x: rgb: "#fd0000" 17916-17922 rgb_led_ws281x: bit: "0" 17922-17928 rgb_led_ws281x: bit: "0" @@ -2197,6 +2458,9 @@ 18045-18051 rgb_led_ws281x: bit: "0" 18051-18057 rgb_led_ws281x: bit: "0" 18057-18064 rgb_led_ws281x: bit: "0" +17916-17965 rgb_led_ws281x: g: "00" +17965-18014 rgb_led_ws281x: r: "fb" +18014-18064 rgb_led_ws281x: b: "00" 17916-18064 rgb_led_ws281x: rgb: "#fb0000" 18064-18070 rgb_led_ws281x: bit: "0" 18070-18076 rgb_led_ws281x: bit: "0" @@ -2222,6 +2486,9 @@ 18193-18199 rgb_led_ws281x: bit: "0" 18199-18205 rgb_led_ws281x: bit: "0" 18205-18212 rgb_led_ws281x: bit: "0" +18064-18113 rgb_led_ws281x: g: "00" +18113-18162 rgb_led_ws281x: r: "f7" +18162-18212 rgb_led_ws281x: b: "00" 18064-18212 rgb_led_ws281x: rgb: "#f70000" 18212-18218 rgb_led_ws281x: bit: "0" 18218-18224 rgb_led_ws281x: bit: "0" @@ -2247,6 +2514,9 @@ 18341-18347 rgb_led_ws281x: bit: "0" 18347-18353 rgb_led_ws281x: bit: "0" 18353-18360 rgb_led_ws281x: bit: "0" +18212-18261 rgb_led_ws281x: g: "00" +18261-18310 rgb_led_ws281x: r: "ff" +18310-18360 rgb_led_ws281x: b: "00" 18212-18360 rgb_led_ws281x: rgb: "#ff0000" 18360-18366 rgb_led_ws281x: bit: "0" 18366-18372 rgb_led_ws281x: bit: "0" @@ -2272,6 +2542,9 @@ 18489-18495 rgb_led_ws281x: bit: "0" 18495-18501 rgb_led_ws281x: bit: "0" 18501-18508 rgb_led_ws281x: bit: "0" +18360-18409 rgb_led_ws281x: g: "00" +18409-18458 rgb_led_ws281x: r: "ef" +18458-18508 rgb_led_ws281x: b: "00" 18360-18508 rgb_led_ws281x: rgb: "#ef0000" 18508-18514 rgb_led_ws281x: bit: "0" 18514-18520 rgb_led_ws281x: bit: "0" @@ -2297,6 +2570,9 @@ 18637-18643 rgb_led_ws281x: bit: "0" 18643-18650 rgb_led_ws281x: bit: "0" 18650-18656 rgb_led_ws281x: bit: "0" +18508-18557 rgb_led_ws281x: g: "00" +18557-18607 rgb_led_ws281x: r: "df" +18607-18656 rgb_led_ws281x: b: "00" 18508-18656 rgb_led_ws281x: rgb: "#df0000" 18656-18662 rgb_led_ws281x: bit: "0" 18662-18669 rgb_led_ws281x: bit: "0" @@ -2322,6 +2598,9 @@ 18785-18792 rgb_led_ws281x: bit: "0" 18792-18798 rgb_led_ws281x: bit: "0" 18798-18804 rgb_led_ws281x: bit: "0" +18656-18705 rgb_led_ws281x: g: "00" +18705-18755 rgb_led_ws281x: r: "be" +18755-18804 rgb_led_ws281x: b: "00" 18656-18804 rgb_led_ws281x: rgb: "#be0000" 18804-18810 rgb_led_ws281x: bit: "0" 18810-18817 rgb_led_ws281x: bit: "0" @@ -2347,6 +2626,9 @@ 18934-18940 rgb_led_ws281x: bit: "0" 18940-18946 rgb_led_ws281x: bit: "0" 18946-18952 rgb_led_ws281x: bit: "0" +18804-18854 rgb_led_ws281x: g: "00" +18854-18903 rgb_led_ws281x: r: "7f" +18903-18952 rgb_led_ws281x: b: "00" 18804-18952 rgb_led_ws281x: rgb: "#7f0000" 18952-18959 rgb_led_ws281x: bit: "0" 18959-18965 rgb_led_ws281x: bit: "0" @@ -2372,6 +2654,9 @@ 19082-19088 rgb_led_ws281x: bit: "0" 19088-19094 rgb_led_ws281x: bit: "0" 19094-19101 rgb_led_ws281x: bit: "0" +18952-19002 rgb_led_ws281x: g: "00" +19002-19051 rgb_led_ws281x: r: "fd" +19051-19101 rgb_led_ws281x: b: "00" 18952-19101 rgb_led_ws281x: rgb: "#fd0000" 19101-19107 rgb_led_ws281x: bit: "0" 19107-19113 rgb_led_ws281x: bit: "0" @@ -2397,6 +2682,9 @@ 19230-19236 rgb_led_ws281x: bit: "0" 19236-19242 rgb_led_ws281x: bit: "0" 19242-19249 rgb_led_ws281x: bit: "0" +19101-19150 rgb_led_ws281x: g: "00" +19150-19199 rgb_led_ws281x: r: "fb" +19199-19249 rgb_led_ws281x: b: "00" 19101-19249 rgb_led_ws281x: rgb: "#fb0000" 19249-19255 rgb_led_ws281x: bit: "0" 19255-19261 rgb_led_ws281x: bit: "0" @@ -2422,6 +2710,9 @@ 19378-19384 rgb_led_ws281x: bit: "0" 19384-19390 rgb_led_ws281x: bit: "0" 19390-19397 rgb_led_ws281x: bit: "0" +19249-19298 rgb_led_ws281x: g: "00" +19298-19347 rgb_led_ws281x: r: "f7" +19347-19397 rgb_led_ws281x: b: "00" 19249-19397 rgb_led_ws281x: rgb: "#f70000" 19397-19403 rgb_led_ws281x: bit: "0" 19403-19409 rgb_led_ws281x: bit: "0" @@ -2447,6 +2738,9 @@ 19526-19532 rgb_led_ws281x: bit: "0" 19532-19538 rgb_led_ws281x: bit: "0" 19538-19545 rgb_led_ws281x: bit: "0" +19397-19446 rgb_led_ws281x: g: "00" +19446-19495 rgb_led_ws281x: r: "ef" +19495-19545 rgb_led_ws281x: b: "00" 19397-19545 rgb_led_ws281x: rgb: "#ef0000" 19545-19551 rgb_led_ws281x: bit: "0" 19551-19557 rgb_led_ws281x: bit: "0" @@ -2472,6 +2766,9 @@ 19674-19680 rgb_led_ws281x: bit: "0" 19680-19686 rgb_led_ws281x: bit: "0" 19686-19693 rgb_led_ws281x: bit: "0" +19545-19594 rgb_led_ws281x: g: "00" +19594-19643 rgb_led_ws281x: r: "ff" +19643-19693 rgb_led_ws281x: b: "00" 19545-19693 rgb_led_ws281x: rgb: "#ff0000" 19693-19699 rgb_led_ws281x: bit: "0" 19699-19705 rgb_led_ws281x: bit: "0" @@ -2497,6 +2794,9 @@ 19822-19828 rgb_led_ws281x: bit: "0" 19828-19835 rgb_led_ws281x: bit: "0" 19835-19841 rgb_led_ws281x: bit: "0" +19693-19742 rgb_led_ws281x: g: "00" +19742-19792 rgb_led_ws281x: r: "df" +19792-19841 rgb_led_ws281x: b: "00" 19693-19841 rgb_led_ws281x: rgb: "#df0000" 19841-19847 rgb_led_ws281x: bit: "0" 19847-19854 rgb_led_ws281x: bit: "0" @@ -2522,6 +2822,9 @@ 19970-19977 rgb_led_ws281x: bit: "0" 19977-19983 rgb_led_ws281x: bit: "0" 19983-19989 rgb_led_ws281x: bit: "0" +19841-19890 rgb_led_ws281x: g: "00" +19890-19940 rgb_led_ws281x: r: "be" +19940-19989 rgb_led_ws281x: b: "00" 19841-19989 rgb_led_ws281x: rgb: "#be0000" 19989-19995 rgb_led_ws281x: bit: "0" 19995-20002 rgb_led_ws281x: bit: "0" @@ -2547,6 +2850,9 @@ 20119-20125 rgb_led_ws281x: bit: "0" 20125-20131 rgb_led_ws281x: bit: "0" 20131-20137 rgb_led_ws281x: bit: "0" +19989-20039 rgb_led_ws281x: g: "00" +20039-20088 rgb_led_ws281x: r: "7f" +20088-20137 rgb_led_ws281x: b: "00" 19989-20137 rgb_led_ws281x: rgb: "#7f0000" 20137-20144 rgb_led_ws281x: bit: "0" 20144-20150 rgb_led_ws281x: bit: "0" @@ -2572,6 +2878,9 @@ 20267-20273 rgb_led_ws281x: bit: "0" 20273-20279 rgb_led_ws281x: bit: "0" 20279-20286 rgb_led_ws281x: bit: "0" +20137-20187 rgb_led_ws281x: g: "00" +20187-20236 rgb_led_ws281x: r: "ff" +20236-20286 rgb_led_ws281x: b: "00" 20137-20286 rgb_led_ws281x: rgb: "#ff0000" 20286-20292 rgb_led_ws281x: bit: "0" 20292-20298 rgb_led_ws281x: bit: "0" @@ -2597,6 +2906,9 @@ 20415-20421 rgb_led_ws281x: bit: "0" 20421-20427 rgb_led_ws281x: bit: "0" 20427-20434 rgb_led_ws281x: bit: "0" +20286-20335 rgb_led_ws281x: g: "00" +20335-20384 rgb_led_ws281x: r: "fb" +20384-20434 rgb_led_ws281x: b: "00" 20286-20434 rgb_led_ws281x: rgb: "#fb0000" 20434-20440 rgb_led_ws281x: bit: "0" 20440-20446 rgb_led_ws281x: bit: "0" @@ -2622,6 +2934,9 @@ 20563-20569 rgb_led_ws281x: bit: "0" 20569-20575 rgb_led_ws281x: bit: "0" 20575-20582 rgb_led_ws281x: bit: "0" +20434-20483 rgb_led_ws281x: g: "00" +20483-20532 rgb_led_ws281x: r: "f7" +20532-20582 rgb_led_ws281x: b: "00" 20434-20582 rgb_led_ws281x: rgb: "#f70000" 20582-20588 rgb_led_ws281x: bit: "0" 20588-20594 rgb_led_ws281x: bit: "0" @@ -2647,6 +2962,9 @@ 20711-20717 rgb_led_ws281x: bit: "0" 20717-20723 rgb_led_ws281x: bit: "0" 20723-20730 rgb_led_ws281x: bit: "0" +20582-20631 rgb_led_ws281x: g: "00" +20631-20680 rgb_led_ws281x: r: "ef" +20680-20730 rgb_led_ws281x: b: "00" 20582-20730 rgb_led_ws281x: rgb: "#ef0000" 20730-20736 rgb_led_ws281x: bit: "0" 20736-20742 rgb_led_ws281x: bit: "0" @@ -2672,6 +2990,9 @@ 20859-20865 rgb_led_ws281x: bit: "0" 20865-20872 rgb_led_ws281x: bit: "0" 20872-20878 rgb_led_ws281x: bit: "0" +20730-20779 rgb_led_ws281x: g: "00" +20779-20828 rgb_led_ws281x: r: "df" +20828-20878 rgb_led_ws281x: b: "00" 20730-20878 rgb_led_ws281x: rgb: "#df0000" 20878-20884 rgb_led_ws281x: bit: "0" 20884-20890 rgb_led_ws281x: bit: "0" @@ -2697,6 +3018,9 @@ 21007-21013 rgb_led_ws281x: bit: "0" 21013-21020 rgb_led_ws281x: bit: "0" 21020-21026 rgb_led_ws281x: bit: "0" +20878-20927 rgb_led_ws281x: g: "00" +20927-20977 rgb_led_ws281x: r: "ff" +20977-21026 rgb_led_ws281x: b: "00" 20878-21026 rgb_led_ws281x: rgb: "#ff0000" 21026-21032 rgb_led_ws281x: bit: "0" 21032-21039 rgb_led_ws281x: bit: "0" @@ -2722,6 +3046,9 @@ 21155-21162 rgb_led_ws281x: bit: "0" 21162-21168 rgb_led_ws281x: bit: "0" 21168-21174 rgb_led_ws281x: bit: "0" +21026-21075 rgb_led_ws281x: g: "00" +21075-21125 rgb_led_ws281x: r: "be" +21125-21174 rgb_led_ws281x: b: "00" 21026-21174 rgb_led_ws281x: rgb: "#be0000" 21174-21181 rgb_led_ws281x: bit: "0" 21181-21187 rgb_led_ws281x: bit: "0" @@ -2747,6 +3074,9 @@ 21304-21310 rgb_led_ws281x: bit: "0" 21310-21316 rgb_led_ws281x: bit: "0" 21316-21322 rgb_led_ws281x: bit: "0" +21174-21224 rgb_led_ws281x: g: "00" +21224-21273 rgb_led_ws281x: r: "7d" +21273-21322 rgb_led_ws281x: b: "00" 21174-21322 rgb_led_ws281x: rgb: "#7d0000" 21322-21329 rgb_led_ws281x: bit: "0" 21329-21335 rgb_led_ws281x: bit: "0" @@ -2772,6 +3102,9 @@ 21452-21458 rgb_led_ws281x: bit: "0" 21458-21464 rgb_led_ws281x: bit: "0" 21464-21471 rgb_led_ws281x: bit: "0" +21322-21372 rgb_led_ws281x: g: "00" +21372-21421 rgb_led_ws281x: r: "ff" +21421-21471 rgb_led_ws281x: b: "00" 21322-21471 rgb_led_ws281x: rgb: "#ff0000" 21471-21477 rgb_led_ws281x: bit: "0" 21477-21483 rgb_led_ws281x: bit: "0" @@ -2797,6 +3130,9 @@ 21600-21606 rgb_led_ws281x: bit: "0" 21606-21612 rgb_led_ws281x: bit: "0" 21612-21619 rgb_led_ws281x: bit: "0" +21471-21520 rgb_led_ws281x: g: "00" +21520-21569 rgb_led_ws281x: r: "fb" +21569-21619 rgb_led_ws281x: b: "00" 21471-21619 rgb_led_ws281x: rgb: "#fb0000" 21619-21625 rgb_led_ws281x: bit: "0" 21625-21631 rgb_led_ws281x: bit: "0" @@ -2822,6 +3158,9 @@ 21748-21754 rgb_led_ws281x: bit: "0" 21754-21760 rgb_led_ws281x: bit: "0" 21760-21767 rgb_led_ws281x: bit: "0" +21619-21668 rgb_led_ws281x: g: "00" +21668-21717 rgb_led_ws281x: r: "f7" +21717-21767 rgb_led_ws281x: b: "00" 21619-21767 rgb_led_ws281x: rgb: "#f70000" 21767-21773 rgb_led_ws281x: bit: "0" 21773-21779 rgb_led_ws281x: bit: "0" @@ -2847,6 +3186,9 @@ 21896-21902 rgb_led_ws281x: bit: "0" 21902-21908 rgb_led_ws281x: bit: "0" 21908-21915 rgb_led_ws281x: bit: "0" +21767-21816 rgb_led_ws281x: g: "00" +21816-21865 rgb_led_ws281x: r: "ef" +21865-21915 rgb_led_ws281x: b: "00" 21767-21915 rgb_led_ws281x: rgb: "#ef0000" 21915-21921 rgb_led_ws281x: bit: "0" 21921-21927 rgb_led_ws281x: bit: "0" @@ -2872,6 +3214,9 @@ 22044-22050 rgb_led_ws281x: bit: "0" 22050-22057 rgb_led_ws281x: bit: "0" 22057-22063 rgb_led_ws281x: bit: "0" +21915-21964 rgb_led_ws281x: g: "00" +21964-22013 rgb_led_ws281x: r: "df" +22013-22063 rgb_led_ws281x: b: "00" 21915-22063 rgb_led_ws281x: rgb: "#df0000" 22063-22069 rgb_led_ws281x: bit: "0" 22069-22075 rgb_led_ws281x: bit: "0" @@ -2897,6 +3242,9 @@ 22192-22198 rgb_led_ws281x: bit: "0" 22198-22205 rgb_led_ws281x: bit: "0" 22205-22211 rgb_led_ws281x: bit: "0" +22063-22112 rgb_led_ws281x: g: "00" +22112-22162 rgb_led_ws281x: r: "bf" +22162-22211 rgb_led_ws281x: b: "00" 22063-22211 rgb_led_ws281x: rgb: "#bf0000" 22211-22217 rgb_led_ws281x: bit: "0" 22217-22224 rgb_led_ws281x: bit: "0" @@ -2922,6 +3270,9 @@ 22340-22347 rgb_led_ws281x: bit: "0" 22347-22353 rgb_led_ws281x: bit: "0" 22353-22359 rgb_led_ws281x: bit: "0" +22211-22260 rgb_led_ws281x: g: "00" +22260-22310 rgb_led_ws281x: r: "be" +22310-22359 rgb_led_ws281x: b: "00" 22211-22359 rgb_led_ws281x: rgb: "#be0000" 22359-22366 rgb_led_ws281x: bit: "0" 22366-22372 rgb_led_ws281x: bit: "0" @@ -2947,6 +3298,9 @@ 22489-22495 rgb_led_ws281x: bit: "0" 22495-22501 rgb_led_ws281x: bit: "0" 22501-22507 rgb_led_ws281x: bit: "0" +22359-22409 rgb_led_ws281x: g: "00" +22409-22458 rgb_led_ws281x: r: "7d" +22458-22507 rgb_led_ws281x: b: "00" 22359-22507 rgb_led_ws281x: rgb: "#7d0000" 22507-22514 rgb_led_ws281x: bit: "0" 22514-22520 rgb_led_ws281x: bit: "0" @@ -2972,6 +3326,9 @@ 22637-22643 rgb_led_ws281x: bit: "0" 22643-22649 rgb_led_ws281x: bit: "0" 22649-22656 rgb_led_ws281x: bit: "0" +22507-22557 rgb_led_ws281x: g: "00" +22557-22606 rgb_led_ws281x: r: "ff" +22606-22656 rgb_led_ws281x: b: "00" 22507-22656 rgb_led_ws281x: rgb: "#ff0000" 22656-22662 rgb_led_ws281x: bit: "0" 22662-22668 rgb_led_ws281x: bit: "0" @@ -2997,6 +3354,9 @@ 22785-22791 rgb_led_ws281x: bit: "0" 22791-22797 rgb_led_ws281x: bit: "0" 22797-22804 rgb_led_ws281x: bit: "0" +22656-22705 rgb_led_ws281x: g: "00" +22705-22754 rgb_led_ws281x: r: "ff" +22754-22804 rgb_led_ws281x: b: "00" 22656-22804 rgb_led_ws281x: rgb: "#ff0000" 22804-22810 rgb_led_ws281x: bit: "0" 22810-22816 rgb_led_ws281x: bit: "0" @@ -3022,6 +3382,9 @@ 22933-22939 rgb_led_ws281x: bit: "0" 22939-22945 rgb_led_ws281x: bit: "0" 22945-22952 rgb_led_ws281x: bit: "0" +22804-22853 rgb_led_ws281x: g: "00" +22853-22902 rgb_led_ws281x: r: "f7" +22902-22952 rgb_led_ws281x: b: "00" 22804-22952 rgb_led_ws281x: rgb: "#f70000" 22952-22958 rgb_led_ws281x: bit: "0" 22958-22964 rgb_led_ws281x: bit: "0" @@ -3047,6 +3410,9 @@ 23081-23087 rgb_led_ws281x: bit: "0" 23087-23093 rgb_led_ws281x: bit: "0" 23093-23100 rgb_led_ws281x: bit: "0" +22952-23001 rgb_led_ws281x: g: "00" +23001-23050 rgb_led_ws281x: r: "ef" +23050-23100 rgb_led_ws281x: b: "00" 22952-23100 rgb_led_ws281x: rgb: "#ef0000" 23100-23106 rgb_led_ws281x: bit: "0" 23106-23112 rgb_led_ws281x: bit: "0" @@ -3072,6 +3438,9 @@ 23229-23235 rgb_led_ws281x: bit: "0" 23235-23242 rgb_led_ws281x: bit: "0" 23242-23248 rgb_led_ws281x: bit: "0" +23100-23149 rgb_led_ws281x: g: "00" +23149-23198 rgb_led_ws281x: r: "df" +23198-23248 rgb_led_ws281x: b: "00" 23100-23248 rgb_led_ws281x: rgb: "#df0000" 23248-23254 rgb_led_ws281x: bit: "0" 23254-23260 rgb_led_ws281x: bit: "0" @@ -3097,6 +3466,9 @@ 23377-23384 rgb_led_ws281x: bit: "0" 23384-23390 rgb_led_ws281x: bit: "0" 23390-23396 rgb_led_ws281x: bit: "0" +23248-23297 rgb_led_ws281x: g: "00" +23297-23347 rgb_led_ws281x: r: "bf" +23347-23396 rgb_led_ws281x: b: "00" 23248-23396 rgb_led_ws281x: rgb: "#bf0000" 23396-23402 rgb_led_ws281x: bit: "0" 23402-23409 rgb_led_ws281x: bit: "0" @@ -3122,6 +3494,9 @@ 23525-23532 rgb_led_ws281x: bit: "0" 23532-23538 rgb_led_ws281x: bit: "0" 23538-23544 rgb_led_ws281x: bit: "0" +23396-23445 rgb_led_ws281x: g: "00" +23445-23495 rgb_led_ws281x: r: "fe" +23495-23544 rgb_led_ws281x: b: "00" 23396-23544 rgb_led_ws281x: rgb: "#fe0000" 23544-23551 rgb_led_ws281x: bit: "0" 23551-23557 rgb_led_ws281x: bit: "0" @@ -3147,6 +3522,9 @@ 23674-23680 rgb_led_ws281x: bit: "0" 23680-23686 rgb_led_ws281x: bit: "0" 23686-23693 rgb_led_ws281x: bit: "0" +23544-23594 rgb_led_ws281x: g: "00" +23594-23643 rgb_led_ws281x: r: "7d" +23643-23693 rgb_led_ws281x: b: "00" 23544-23693 rgb_led_ws281x: rgb: "#7d0000" 23693-23699 rgb_led_ws281x: bit: "0" 23699-23705 rgb_led_ws281x: bit: "0" @@ -3172,6 +3550,9 @@ 23822-23828 rgb_led_ws281x: bit: "0" 23828-23834 rgb_led_ws281x: bit: "0" 23834-23841 rgb_led_ws281x: bit: "0" +23693-23742 rgb_led_ws281x: g: "00" +23742-23791 rgb_led_ws281x: r: "ff" +23791-23841 rgb_led_ws281x: b: "00" 23693-23841 rgb_led_ws281x: rgb: "#ff0000" 23841-23847 rgb_led_ws281x: bit: "0" 23847-23853 rgb_led_ws281x: bit: "0" @@ -3197,6 +3578,9 @@ 23970-23976 rgb_led_ws281x: bit: "0" 23976-23982 rgb_led_ws281x: bit: "0" 23982-23989 rgb_led_ws281x: bit: "0" +23841-23890 rgb_led_ws281x: g: "00" +23890-23939 rgb_led_ws281x: r: "ff" +23939-23989 rgb_led_ws281x: b: "00" 23841-23989 rgb_led_ws281x: rgb: "#ff0000" 23989-23995 rgb_led_ws281x: bit: "0" 23995-24001 rgb_led_ws281x: bit: "0" @@ -3222,6 +3606,9 @@ 24118-24124 rgb_led_ws281x: bit: "0" 24124-24130 rgb_led_ws281x: bit: "0" 24130-24137 rgb_led_ws281x: bit: "0" +23989-24038 rgb_led_ws281x: g: "00" +24038-24087 rgb_led_ws281x: r: "f7" +24087-24137 rgb_led_ws281x: b: "00" 23989-24137 rgb_led_ws281x: rgb: "#f70000" 24137-24143 rgb_led_ws281x: bit: "0" 24143-24149 rgb_led_ws281x: bit: "0" @@ -3247,6 +3634,9 @@ 24266-24272 rgb_led_ws281x: bit: "0" 24272-24278 rgb_led_ws281x: bit: "0" 24278-24285 rgb_led_ws281x: bit: "0" +24137-24186 rgb_led_ws281x: g: "00" +24186-24235 rgb_led_ws281x: r: "ef" +24235-24285 rgb_led_ws281x: b: "00" 24137-24285 rgb_led_ws281x: rgb: "#ef0000" 24285-24291 rgb_led_ws281x: bit: "0" 24291-24297 rgb_led_ws281x: bit: "0" @@ -3272,6 +3662,9 @@ 24414-24420 rgb_led_ws281x: bit: "0" 24420-24427 rgb_led_ws281x: bit: "0" 24427-24433 rgb_led_ws281x: bit: "0" +24285-24334 rgb_led_ws281x: g: "00" +24334-24383 rgb_led_ws281x: r: "df" +24383-24433 rgb_led_ws281x: b: "00" 24285-24433 rgb_led_ws281x: rgb: "#df0000" 24433-24439 rgb_led_ws281x: bit: "0" 24439-24445 rgb_led_ws281x: bit: "0" @@ -3297,6 +3690,9 @@ 24562-24569 rgb_led_ws281x: bit: "0" 24569-24575 rgb_led_ws281x: bit: "0" 24575-24581 rgb_led_ws281x: bit: "0" +24433-24482 rgb_led_ws281x: g: "00" +24482-24532 rgb_led_ws281x: r: "bf" +24532-24581 rgb_led_ws281x: b: "00" 24433-24581 rgb_led_ws281x: rgb: "#bf0000" 24581-24587 rgb_led_ws281x: bit: "0" 24587-24594 rgb_led_ws281x: bit: "0" @@ -3322,6 +3718,9 @@ 24710-24717 rgb_led_ws281x: bit: "0" 24717-24723 rgb_led_ws281x: bit: "0" 24723-24729 rgb_led_ws281x: bit: "0" +24581-24631 rgb_led_ws281x: g: "00" +24631-24680 rgb_led_ws281x: r: "7e" +24680-24729 rgb_led_ws281x: b: "00" 24581-24729 rgb_led_ws281x: rgb: "#7e0000" 24729-24736 rgb_led_ws281x: bit: "0" 24736-24742 rgb_led_ws281x: bit: "0" @@ -3347,6 +3746,9 @@ 24859-24865 rgb_led_ws281x: bit: "0" 24865-24871 rgb_led_ws281x: bit: "0" 24871-24878 rgb_led_ws281x: bit: "0" +24729-24779 rgb_led_ws281x: g: "00" +24779-24828 rgb_led_ws281x: r: "fd" +24828-24878 rgb_led_ws281x: b: "00" 24729-24878 rgb_led_ws281x: rgb: "#fd0000" 24878-24884 rgb_led_ws281x: bit: "0" 24884-24890 rgb_led_ws281x: bit: "0" @@ -3372,6 +3774,9 @@ 25007-25013 rgb_led_ws281x: bit: "0" 25013-25019 rgb_led_ws281x: bit: "0" 25019-25026 rgb_led_ws281x: bit: "0" +24878-24927 rgb_led_ws281x: g: "00" +24927-24976 rgb_led_ws281x: r: "fb" +24976-25026 rgb_led_ws281x: b: "00" 24878-25026 rgb_led_ws281x: rgb: "#fb0000" 25026-25032 rgb_led_ws281x: bit: "0" 25032-25038 rgb_led_ws281x: bit: "0" @@ -3397,6 +3802,9 @@ 25155-25161 rgb_led_ws281x: bit: "0" 25161-25167 rgb_led_ws281x: bit: "0" 25167-25174 rgb_led_ws281x: bit: "0" +25026-25075 rgb_led_ws281x: g: "00" +25075-25124 rgb_led_ws281x: r: "ff" +25124-25174 rgb_led_ws281x: b: "00" 25026-25174 rgb_led_ws281x: rgb: "#ff0000" 25174-25180 rgb_led_ws281x: bit: "0" 25180-25186 rgb_led_ws281x: bit: "0" @@ -3422,6 +3830,9 @@ 25303-25309 rgb_led_ws281x: bit: "0" 25309-25315 rgb_led_ws281x: bit: "0" 25315-25322 rgb_led_ws281x: bit: "0" +25174-25223 rgb_led_ws281x: g: "00" +25223-25272 rgb_led_ws281x: r: "f7" +25272-25322 rgb_led_ws281x: b: "00" 25174-25322 rgb_led_ws281x: rgb: "#f70000" 25322-25328 rgb_led_ws281x: bit: "0" 25328-25334 rgb_led_ws281x: bit: "0" @@ -3447,6 +3858,9 @@ 25451-25457 rgb_led_ws281x: bit: "0" 25457-25463 rgb_led_ws281x: bit: "0" 25463-25470 rgb_led_ws281x: bit: "0" +25322-25371 rgb_led_ws281x: g: "00" +25371-25420 rgb_led_ws281x: r: "ef" +25420-25470 rgb_led_ws281x: b: "00" 25322-25470 rgb_led_ws281x: rgb: "#ef0000" 25470-25476 rgb_led_ws281x: bit: "0" 25476-25482 rgb_led_ws281x: bit: "0" @@ -3472,6 +3886,9 @@ 25599-25605 rgb_led_ws281x: bit: "0" 25605-25612 rgb_led_ws281x: bit: "0" 25612-25618 rgb_led_ws281x: bit: "0" +25470-25519 rgb_led_ws281x: g: "00" +25519-25568 rgb_led_ws281x: r: "df" +25568-25618 rgb_led_ws281x: b: "00" 25470-25618 rgb_led_ws281x: rgb: "#df0000" 25618-25624 rgb_led_ws281x: bit: "0" 25624-25630 rgb_led_ws281x: bit: "0" @@ -3497,6 +3914,9 @@ 25747-25754 rgb_led_ws281x: bit: "0" 25754-25760 rgb_led_ws281x: bit: "0" 25760-25766 rgb_led_ws281x: bit: "0" +25618-25667 rgb_led_ws281x: g: "00" +25667-25717 rgb_led_ws281x: r: "bf" +25717-25766 rgb_led_ws281x: b: "00" 25618-25766 rgb_led_ws281x: rgb: "#bf0000" 25766-25772 rgb_led_ws281x: bit: "0" 25772-25779 rgb_led_ws281x: bit: "0" @@ -3522,6 +3942,9 @@ 25895-25902 rgb_led_ws281x: bit: "0" 25902-25908 rgb_led_ws281x: bit: "0" 25908-25914 rgb_led_ws281x: bit: "0" +25766-25816 rgb_led_ws281x: g: "00" +25816-25865 rgb_led_ws281x: r: "7e" +25865-25914 rgb_led_ws281x: b: "00" 25766-25914 rgb_led_ws281x: rgb: "#7e0000" 25914-25921 rgb_led_ws281x: bit: "0" 25921-25927 rgb_led_ws281x: bit: "0" @@ -3547,6 +3970,9 @@ 26044-26050 rgb_led_ws281x: bit: "0" 26050-26056 rgb_led_ws281x: bit: "0" 26056-26063 rgb_led_ws281x: bit: "0" +25914-25964 rgb_led_ws281x: g: "00" +25964-26013 rgb_led_ws281x: r: "fd" +26013-26063 rgb_led_ws281x: b: "00" 25914-26063 rgb_led_ws281x: rgb: "#fd0000" 26063-26069 rgb_led_ws281x: bit: "0" 26069-26075 rgb_led_ws281x: bit: "0" @@ -3572,6 +3998,9 @@ 26192-26198 rgb_led_ws281x: bit: "0" 26198-26204 rgb_led_ws281x: bit: "0" 26204-26211 rgb_led_ws281x: bit: "0" +26063-26112 rgb_led_ws281x: g: "00" +26112-26161 rgb_led_ws281x: r: "fb" +26161-26211 rgb_led_ws281x: b: "00" 26063-26211 rgb_led_ws281x: rgb: "#fb0000" 26211-26217 rgb_led_ws281x: bit: "0" 26217-26223 rgb_led_ws281x: bit: "0" @@ -3597,6 +4026,9 @@ 26340-26346 rgb_led_ws281x: bit: "0" 26346-26352 rgb_led_ws281x: bit: "0" 26352-26359 rgb_led_ws281x: bit: "0" +26211-26260 rgb_led_ws281x: g: "00" +26260-26309 rgb_led_ws281x: r: "ff" +26309-26359 rgb_led_ws281x: b: "00" 26211-26359 rgb_led_ws281x: rgb: "#ff0000" 26359-26365 rgb_led_ws281x: bit: "0" 26365-26371 rgb_led_ws281x: bit: "0" @@ -3622,6 +4054,9 @@ 26488-26494 rgb_led_ws281x: bit: "0" 26494-26500 rgb_led_ws281x: bit: "0" 26500-26507 rgb_led_ws281x: bit: "0" +26359-26408 rgb_led_ws281x: g: "00" +26408-26457 rgb_led_ws281x: r: "ff" +26457-26507 rgb_led_ws281x: b: "00" 26359-26507 rgb_led_ws281x: rgb: "#ff0000" 26507-26513 rgb_led_ws281x: bit: "0" 26513-26519 rgb_led_ws281x: bit: "0" @@ -3647,6 +4082,9 @@ 26636-26642 rgb_led_ws281x: bit: "0" 26642-26648 rgb_led_ws281x: bit: "0" 26648-26655 rgb_led_ws281x: bit: "0" +26507-26556 rgb_led_ws281x: g: "00" +26556-26605 rgb_led_ws281x: r: "ef" +26605-26655 rgb_led_ws281x: b: "00" 26507-26655 rgb_led_ws281x: rgb: "#ef0000" 26655-26661 rgb_led_ws281x: bit: "0" 26661-26667 rgb_led_ws281x: bit: "0" @@ -3672,6 +4110,9 @@ 26784-26790 rgb_led_ws281x: bit: "0" 26790-26797 rgb_led_ws281x: bit: "0" 26797-26803 rgb_led_ws281x: bit: "0" +26655-26704 rgb_led_ws281x: g: "00" +26704-26754 rgb_led_ws281x: r: "df" +26754-26803 rgb_led_ws281x: b: "00" 26655-26803 rgb_led_ws281x: rgb: "#df0000" 26803-26809 rgb_led_ws281x: bit: "0" 26809-26815 rgb_led_ws281x: bit: "0" @@ -3697,6 +4138,9 @@ 26932-26939 rgb_led_ws281x: bit: "0" 26939-26945 rgb_led_ws281x: bit: "0" 26945-26951 rgb_led_ws281x: bit: "0" +26803-26852 rgb_led_ws281x: g: "00" +26852-26902 rgb_led_ws281x: r: "bf" +26902-26951 rgb_led_ws281x: b: "00" 26803-26951 rgb_led_ws281x: rgb: "#bf0000" 26951-26957 rgb_led_ws281x: bit: "0" 26957-26964 rgb_led_ws281x: bit: "0" @@ -3722,6 +4166,9 @@ 27081-27087 rgb_led_ws281x: bit: "0" 27087-27093 rgb_led_ws281x: bit: "0" 27093-27099 rgb_led_ws281x: bit: "0" +26951-27001 rgb_led_ws281x: g: "00" +27001-27050 rgb_led_ws281x: r: "7e" +27050-27099 rgb_led_ws281x: b: "00" 26951-27099 rgb_led_ws281x: rgb: "#7e0000" 27099-27106 rgb_led_ws281x: bit: "0" 27106-27112 rgb_led_ws281x: bit: "0" @@ -3747,6 +4194,9 @@ 27229-27235 rgb_led_ws281x: bit: "0" 27235-27241 rgb_led_ws281x: bit: "0" 27241-27248 rgb_led_ws281x: bit: "0" +27099-27149 rgb_led_ws281x: g: "00" +27149-27198 rgb_led_ws281x: r: "fd" +27198-27248 rgb_led_ws281x: b: "00" 27099-27248 rgb_led_ws281x: rgb: "#fd0000" 27248-27254 rgb_led_ws281x: bit: "0" 27254-27260 rgb_led_ws281x: bit: "0" @@ -3772,6 +4222,9 @@ 27377-27383 rgb_led_ws281x: bit: "0" 27383-27389 rgb_led_ws281x: bit: "0" 27389-27396 rgb_led_ws281x: bit: "0" +27248-27297 rgb_led_ws281x: g: "00" +27297-27346 rgb_led_ws281x: r: "fb" +27346-27396 rgb_led_ws281x: b: "00" 27248-27396 rgb_led_ws281x: rgb: "#fb0000" 27396-27402 rgb_led_ws281x: bit: "0" 27402-27408 rgb_led_ws281x: bit: "0" @@ -3797,6 +4250,9 @@ 27525-27531 rgb_led_ws281x: bit: "0" 27531-27537 rgb_led_ws281x: bit: "0" 27537-27544 rgb_led_ws281x: bit: "0" +27396-27445 rgb_led_ws281x: g: "00" +27445-27494 rgb_led_ws281x: r: "f7" +27494-27544 rgb_led_ws281x: b: "00" 27396-27544 rgb_led_ws281x: rgb: "#f70000" 27544-27550 rgb_led_ws281x: bit: "0" 27550-27556 rgb_led_ws281x: bit: "0" @@ -3822,6 +4278,9 @@ 27673-27679 rgb_led_ws281x: bit: "0" 27679-27685 rgb_led_ws281x: bit: "0" 27685-27692 rgb_led_ws281x: bit: "0" +27544-27593 rgb_led_ws281x: g: "00" +27593-27642 rgb_led_ws281x: r: "ff" +27642-27692 rgb_led_ws281x: b: "00" 27544-27692 rgb_led_ws281x: rgb: "#ff0000" 27692-27698 rgb_led_ws281x: bit: "0" 27698-27704 rgb_led_ws281x: bit: "0" @@ -3847,6 +4306,9 @@ 27821-27827 rgb_led_ws281x: bit: "0" 27827-27833 rgb_led_ws281x: bit: "0" 27833-27840 rgb_led_ws281x: bit: "0" +27692-27741 rgb_led_ws281x: g: "00" +27741-27790 rgb_led_ws281x: r: "ef" +27790-27840 rgb_led_ws281x: b: "00" 27692-27840 rgb_led_ws281x: rgb: "#ef0000" 27840-27846 rgb_led_ws281x: bit: "0" 27846-27852 rgb_led_ws281x: bit: "0" @@ -3872,6 +4334,9 @@ 27969-27975 rgb_led_ws281x: bit: "0" 27975-27982 rgb_led_ws281x: bit: "0" 27982-27988 rgb_led_ws281x: bit: "0" +27840-27889 rgb_led_ws281x: g: "00" +27889-27939 rgb_led_ws281x: r: "df" +27939-27988 rgb_led_ws281x: b: "00" 27840-27988 rgb_led_ws281x: rgb: "#df0000" 27988-27994 rgb_led_ws281x: bit: "0" 27994-28000 rgb_led_ws281x: bit: "0" @@ -3897,6 +4362,9 @@ 28117-28124 rgb_led_ws281x: bit: "0" 28124-28130 rgb_led_ws281x: bit: "0" 28130-28136 rgb_led_ws281x: bit: "0" +27988-28037 rgb_led_ws281x: g: "00" +28037-28087 rgb_led_ws281x: r: "bf" +28087-28136 rgb_led_ws281x: b: "00" 27988-28136 rgb_led_ws281x: rgb: "#bf0000" 28136-28142 rgb_led_ws281x: bit: "0" 28142-28149 rgb_led_ws281x: bit: "0" @@ -3922,6 +4390,9 @@ 28266-28272 rgb_led_ws281x: bit: "0" 28272-28278 rgb_led_ws281x: bit: "0" 28278-28284 rgb_led_ws281x: bit: "0" +28136-28186 rgb_led_ws281x: g: "00" +28186-28235 rgb_led_ws281x: r: "7f" +28235-28284 rgb_led_ws281x: b: "00" 28136-28284 rgb_led_ws281x: rgb: "#7f0000" 28284-28291 rgb_led_ws281x: bit: "0" 28291-28297 rgb_led_ws281x: bit: "0" @@ -3947,6 +4418,9 @@ 28414-28420 rgb_led_ws281x: bit: "0" 28420-28426 rgb_led_ws281x: bit: "0" 28426-28433 rgb_led_ws281x: bit: "0" +28284-28334 rgb_led_ws281x: g: "00" +28334-28383 rgb_led_ws281x: r: "fd" +28383-28433 rgb_led_ws281x: b: "00" 28284-28433 rgb_led_ws281x: rgb: "#fd0000" 28433-28439 rgb_led_ws281x: bit: "0" 28439-28445 rgb_led_ws281x: bit: "0" @@ -3972,6 +4446,9 @@ 28562-28568 rgb_led_ws281x: bit: "0" 28568-28574 rgb_led_ws281x: bit: "0" 28574-28581 rgb_led_ws281x: bit: "0" +28433-28482 rgb_led_ws281x: g: "00" +28482-28531 rgb_led_ws281x: r: "fb" +28531-28581 rgb_led_ws281x: b: "00" 28433-28581 rgb_led_ws281x: rgb: "#fb0000" 28581-28587 rgb_led_ws281x: bit: "0" 28587-28593 rgb_led_ws281x: bit: "0" @@ -3997,6 +4474,9 @@ 28710-28716 rgb_led_ws281x: bit: "0" 28716-28722 rgb_led_ws281x: bit: "0" 28722-28729 rgb_led_ws281x: bit: "0" +28581-28630 rgb_led_ws281x: g: "00" +28630-28679 rgb_led_ws281x: r: "f7" +28679-28729 rgb_led_ws281x: b: "00" 28581-28729 rgb_led_ws281x: rgb: "#f70000" 28729-28735 rgb_led_ws281x: bit: "0" 28735-28741 rgb_led_ws281x: bit: "0" @@ -4022,6 +4502,9 @@ 28858-28864 rgb_led_ws281x: bit: "0" 28864-28870 rgb_led_ws281x: bit: "0" 28870-28877 rgb_led_ws281x: bit: "0" +28729-28778 rgb_led_ws281x: g: "00" +28778-28827 rgb_led_ws281x: r: "ef" +28827-28877 rgb_led_ws281x: b: "00" 28729-28877 rgb_led_ws281x: rgb: "#ef0000" 28877-28883 rgb_led_ws281x: bit: "0" 28883-28889 rgb_led_ws281x: bit: "0" @@ -4047,6 +4530,9 @@ 29006-29012 rgb_led_ws281x: bit: "0" 29012-29018 rgb_led_ws281x: bit: "0" 29018-29025 rgb_led_ws281x: bit: "0" +28877-28926 rgb_led_ws281x: g: "00" +28926-28975 rgb_led_ws281x: r: "ff" +28975-29025 rgb_led_ws281x: b: "00" 28877-29025 rgb_led_ws281x: rgb: "#ff0000" 29025-29031 rgb_led_ws281x: bit: "0" 29031-29037 rgb_led_ws281x: bit: "0" @@ -4072,6 +4558,9 @@ 29154-29160 rgb_led_ws281x: bit: "0" 29160-29167 rgb_led_ws281x: bit: "0" 29167-29173 rgb_led_ws281x: bit: "0" +29025-29074 rgb_led_ws281x: g: "00" +29074-29124 rgb_led_ws281x: r: "df" +29124-29173 rgb_led_ws281x: b: "00" 29025-29173 rgb_led_ws281x: rgb: "#df0000" 29173-29179 rgb_led_ws281x: bit: "0" 29179-29186 rgb_led_ws281x: bit: "0" @@ -4097,6 +4586,9 @@ 29302-29309 rgb_led_ws281x: bit: "0" 29309-29315 rgb_led_ws281x: bit: "0" 29315-29321 rgb_led_ws281x: bit: "0" +29173-29222 rgb_led_ws281x: g: "00" +29222-29272 rgb_led_ws281x: r: "bf" +29272-29321 rgb_led_ws281x: b: "00" 29173-29321 rgb_led_ws281x: rgb: "#bf0000" 29321-29327 rgb_led_ws281x: bit: "0" 29327-29334 rgb_led_ws281x: bit: "0" @@ -4122,6 +4614,9 @@ 29451-29457 rgb_led_ws281x: bit: "0" 29457-29463 rgb_led_ws281x: bit: "0" 29463-29469 rgb_led_ws281x: bit: "0" +29321-29371 rgb_led_ws281x: g: "00" +29371-29420 rgb_led_ws281x: r: "7f" +29420-29469 rgb_led_ws281x: b: "00" 29321-29469 rgb_led_ws281x: rgb: "#7f0000" 29469-29476 rgb_led_ws281x: bit: "0" 29476-29482 rgb_led_ws281x: bit: "0" @@ -4147,6 +4642,9 @@ 29599-29605 rgb_led_ws281x: bit: "0" 29605-29611 rgb_led_ws281x: bit: "0" 29611-29618 rgb_led_ws281x: bit: "0" +29469-29519 rgb_led_ws281x: g: "00" +29519-29568 rgb_led_ws281x: r: "fd" +29568-29618 rgb_led_ws281x: b: "00" 29469-29618 rgb_led_ws281x: rgb: "#fd0000" 29618-29624 rgb_led_ws281x: bit: "0" 29624-29630 rgb_led_ws281x: bit: "0" @@ -4172,6 +4670,9 @@ 29747-29753 rgb_led_ws281x: bit: "0" 29753-29759 rgb_led_ws281x: bit: "0" 29759-29766 rgb_led_ws281x: bit: "0" +29618-29667 rgb_led_ws281x: g: "00" +29667-29716 rgb_led_ws281x: r: "fb" +29716-29766 rgb_led_ws281x: b: "00" 29618-29766 rgb_led_ws281x: rgb: "#fb0000" 29766-29772 rgb_led_ws281x: bit: "0" 29772-29778 rgb_led_ws281x: bit: "0" @@ -4197,6 +4698,9 @@ 29895-29901 rgb_led_ws281x: bit: "0" 29901-29907 rgb_led_ws281x: bit: "0" 29907-29914 rgb_led_ws281x: bit: "0" +29766-29815 rgb_led_ws281x: g: "00" +29815-29864 rgb_led_ws281x: r: "f7" +29864-29914 rgb_led_ws281x: b: "00" 29766-29914 rgb_led_ws281x: rgb: "#f70000" 29914-29920 rgb_led_ws281x: bit: "0" 29920-29926 rgb_led_ws281x: bit: "0" @@ -4222,6 +4726,9 @@ 30043-30049 rgb_led_ws281x: bit: "0" 30049-30055 rgb_led_ws281x: bit: "0" 30055-30062 rgb_led_ws281x: bit: "0" +29914-29963 rgb_led_ws281x: g: "00" +29963-30012 rgb_led_ws281x: r: "ef" +30012-30062 rgb_led_ws281x: b: "00" 29914-30062 rgb_led_ws281x: rgb: "#ef0000" 30062-30068 rgb_led_ws281x: bit: "0" 30068-30074 rgb_led_ws281x: bit: "0" @@ -4247,6 +4754,9 @@ 30191-30197 rgb_led_ws281x: bit: "0" 30197-30204 rgb_led_ws281x: bit: "0" 30204-30210 rgb_led_ws281x: bit: "0" +30062-30111 rgb_led_ws281x: g: "00" +30111-30160 rgb_led_ws281x: r: "ff" +30160-30210 rgb_led_ws281x: b: "00" 30062-30210 rgb_led_ws281x: rgb: "#ff0000" 30210-30216 rgb_led_ws281x: bit: "0" 30216-30222 rgb_led_ws281x: bit: "0" @@ -4272,6 +4782,9 @@ 30339-30345 rgb_led_ws281x: bit: "0" 30345-30352 rgb_led_ws281x: bit: "0" 30352-30358 rgb_led_ws281x: bit: "0" +30210-30259 rgb_led_ws281x: g: "00" +30259-30309 rgb_led_ws281x: r: "ff" +30309-30358 rgb_led_ws281x: b: "00" 30210-30358 rgb_led_ws281x: rgb: "#ff0000" 30358-30364 rgb_led_ws281x: bit: "0" 30364-30371 rgb_led_ws281x: bit: "0" @@ -4297,6 +4810,9 @@ 30487-30494 rgb_led_ws281x: bit: "0" 30494-30500 rgb_led_ws281x: bit: "0" 30500-30506 rgb_led_ws281x: bit: "0" +30358-30407 rgb_led_ws281x: g: "00" +30407-30457 rgb_led_ws281x: r: "be" +30457-30506 rgb_led_ws281x: b: "00" 30358-30506 rgb_led_ws281x: rgb: "#be0000" 30506-30512 rgb_led_ws281x: bit: "0" 30512-30519 rgb_led_ws281x: bit: "0" @@ -4322,6 +4838,9 @@ 30636-30642 rgb_led_ws281x: bit: "0" 30642-30648 rgb_led_ws281x: bit: "0" 30648-30654 rgb_led_ws281x: bit: "0" +30506-30556 rgb_led_ws281x: g: "00" +30556-30605 rgb_led_ws281x: r: "7d" +30605-30654 rgb_led_ws281x: b: "00" 30506-30654 rgb_led_ws281x: rgb: "#7d0000" 30654-30661 rgb_led_ws281x: bit: "0" 30661-30667 rgb_led_ws281x: bit: "0" @@ -4347,6 +4866,9 @@ 30784-30790 rgb_led_ws281x: bit: "0" 30790-30796 rgb_led_ws281x: bit: "0" 30796-30803 rgb_led_ws281x: bit: "0" +30654-30704 rgb_led_ws281x: g: "00" +30704-30753 rgb_led_ws281x: r: "ff" +30753-30803 rgb_led_ws281x: b: "00" 30654-30803 rgb_led_ws281x: rgb: "#ff0000" 30803-30809 rgb_led_ws281x: bit: "0" 30809-30815 rgb_led_ws281x: bit: "0" @@ -4372,6 +4894,9 @@ 30932-30938 rgb_led_ws281x: bit: "0" 30938-30944 rgb_led_ws281x: bit: "0" 30944-30951 rgb_led_ws281x: bit: "0" +30803-30852 rgb_led_ws281x: g: "00" +30852-30901 rgb_led_ws281x: r: "fb" +30901-30951 rgb_led_ws281x: b: "00" 30803-30951 rgb_led_ws281x: rgb: "#fb0000" 30951-30957 rgb_led_ws281x: bit: "0" 30957-30963 rgb_led_ws281x: bit: "0" @@ -4397,6 +4922,9 @@ 31080-31086 rgb_led_ws281x: bit: "0" 31086-31092 rgb_led_ws281x: bit: "0" 31092-31099 rgb_led_ws281x: bit: "0" +30951-31000 rgb_led_ws281x: g: "00" +31000-31049 rgb_led_ws281x: r: "f7" +31049-31099 rgb_led_ws281x: b: "00" 30951-31099 rgb_led_ws281x: rgb: "#f70000" 31099-31105 rgb_led_ws281x: bit: "0" 31105-31111 rgb_led_ws281x: bit: "0" @@ -4422,6 +4950,9 @@ 31228-31234 rgb_led_ws281x: bit: "0" 31234-31240 rgb_led_ws281x: bit: "0" 31240-31247 rgb_led_ws281x: bit: "0" +31099-31148 rgb_led_ws281x: g: "00" +31148-31197 rgb_led_ws281x: r: "ef" +31197-31247 rgb_led_ws281x: b: "00" 31099-31247 rgb_led_ws281x: rgb: "#ef0000" 31247-31253 rgb_led_ws281x: bit: "0" 31253-31259 rgb_led_ws281x: bit: "0" @@ -4447,6 +4978,9 @@ 31376-31382 rgb_led_ws281x: bit: "0" 31382-31389 rgb_led_ws281x: bit: "0" 31389-31395 rgb_led_ws281x: bit: "0" +31247-31296 rgb_led_ws281x: g: "00" +31296-31345 rgb_led_ws281x: r: "df" +31345-31395 rgb_led_ws281x: b: "00" 31247-31395 rgb_led_ws281x: rgb: "#df0000" 31395-31401 rgb_led_ws281x: bit: "0" 31401-31407 rgb_led_ws281x: bit: "0" @@ -4472,6 +5006,9 @@ 31524-31530 rgb_led_ws281x: bit: "0" 31530-31537 rgb_led_ws281x: bit: "0" 31537-31543 rgb_led_ws281x: bit: "0" +31395-31444 rgb_led_ws281x: g: "00" +31444-31494 rgb_led_ws281x: r: "ff" +31494-31543 rgb_led_ws281x: b: "00" 31395-31543 rgb_led_ws281x: rgb: "#ff0000" 31543-31549 rgb_led_ws281x: bit: "0" 31549-31556 rgb_led_ws281x: bit: "0" @@ -4497,6 +5034,9 @@ 31672-31679 rgb_led_ws281x: bit: "0" 31679-31685 rgb_led_ws281x: bit: "0" 31685-31691 rgb_led_ws281x: bit: "0" +31543-31592 rgb_led_ws281x: g: "00" +31592-31642 rgb_led_ws281x: r: "be" +31642-31691 rgb_led_ws281x: b: "00" 31543-31691 rgb_led_ws281x: rgb: "#be0000" 31691-31698 rgb_led_ws281x: bit: "0" 31698-31704 rgb_led_ws281x: bit: "0" @@ -4522,6 +5062,9 @@ 31821-31827 rgb_led_ws281x: bit: "0" 31827-31833 rgb_led_ws281x: bit: "0" 31833-31839 rgb_led_ws281x: bit: "0" +31691-31741 rgb_led_ws281x: g: "00" +31741-31790 rgb_led_ws281x: r: "7d" +31790-31839 rgb_led_ws281x: b: "00" 31691-31839 rgb_led_ws281x: rgb: "#7d0000" 31839-31846 rgb_led_ws281x: bit: "0" 31846-31852 rgb_led_ws281x: bit: "0" @@ -4547,6 +5090,9 @@ 31969-31975 rgb_led_ws281x: bit: "0" 31975-31981 rgb_led_ws281x: bit: "0" 31981-31988 rgb_led_ws281x: bit: "0" +31839-31889 rgb_led_ws281x: g: "00" +31889-31938 rgb_led_ws281x: r: "ff" +31938-31988 rgb_led_ws281x: b: "00" 31839-31988 rgb_led_ws281x: rgb: "#ff0000" 31988-31994 rgb_led_ws281x: bit: "0" 31994-32000 rgb_led_ws281x: bit: "0" @@ -4572,6 +5118,9 @@ 32117-32123 rgb_led_ws281x: bit: "0" 32123-32129 rgb_led_ws281x: bit: "0" 32129-32136 rgb_led_ws281x: bit: "0" +31988-32037 rgb_led_ws281x: g: "00" +32037-32086 rgb_led_ws281x: r: "ff" +32086-32136 rgb_led_ws281x: b: "00" 31988-32136 rgb_led_ws281x: rgb: "#ff0000" 32136-32142 rgb_led_ws281x: bit: "0" 32142-32148 rgb_led_ws281x: bit: "0" @@ -4597,6 +5146,9 @@ 32265-32271 rgb_led_ws281x: bit: "0" 32271-32277 rgb_led_ws281x: bit: "0" 32277-32284 rgb_led_ws281x: bit: "0" +32136-32185 rgb_led_ws281x: g: "00" +32185-32234 rgb_led_ws281x: r: "f7" +32234-32284 rgb_led_ws281x: b: "00" 32136-32284 rgb_led_ws281x: rgb: "#f70000" 32284-32290 rgb_led_ws281x: bit: "0" 32290-32296 rgb_led_ws281x: bit: "0" @@ -4622,6 +5174,9 @@ 32413-32419 rgb_led_ws281x: bit: "0" 32419-32425 rgb_led_ws281x: bit: "0" 32425-32432 rgb_led_ws281x: bit: "0" +32284-32333 rgb_led_ws281x: g: "00" +32333-32382 rgb_led_ws281x: r: "ef" +32382-32432 rgb_led_ws281x: b: "00" 32284-32432 rgb_led_ws281x: rgb: "#ef0000" 32432-32438 rgb_led_ws281x: bit: "0" 32438-32444 rgb_led_ws281x: bit: "0" @@ -4647,6 +5202,9 @@ 32561-32567 rgb_led_ws281x: bit: "0" 32567-32574 rgb_led_ws281x: bit: "0" 32574-32580 rgb_led_ws281x: bit: "0" +32432-32481 rgb_led_ws281x: g: "00" +32481-32530 rgb_led_ws281x: r: "df" +32530-32580 rgb_led_ws281x: b: "00" 32432-32580 rgb_led_ws281x: rgb: "#df0000" 32580-32586 rgb_led_ws281x: bit: "0" 32586-32592 rgb_led_ws281x: bit: "0" @@ -4672,6 +5230,9 @@ 32709-32716 rgb_led_ws281x: bit: "0" 32716-32722 rgb_led_ws281x: bit: "0" 32722-32728 rgb_led_ws281x: bit: "0" +32580-32629 rgb_led_ws281x: g: "00" +32629-32679 rgb_led_ws281x: r: "ff" +32679-32728 rgb_led_ws281x: b: "00" 32580-32728 rgb_led_ws281x: rgb: "#ff0000" 32728-32734 rgb_led_ws281x: bit: "0" 32734-32741 rgb_led_ws281x: bit: "0" @@ -4697,6 +5258,9 @@ 32857-32864 rgb_led_ws281x: bit: "0" 32864-32870 rgb_led_ws281x: bit: "0" 32870-32876 rgb_led_ws281x: bit: "0" +32728-32777 rgb_led_ws281x: g: "00" +32777-32827 rgb_led_ws281x: r: "fe" +32827-32876 rgb_led_ws281x: b: "00" 32728-32876 rgb_led_ws281x: rgb: "#fe0000" 32876-32883 rgb_led_ws281x: bit: "0" 32883-32889 rgb_led_ws281x: bit: "0" @@ -4722,6 +5286,9 @@ 33006-33012 rgb_led_ws281x: bit: "0" 33012-33018 rgb_led_ws281x: bit: "0" 33018-33025 rgb_led_ws281x: bit: "0" +32876-32926 rgb_led_ws281x: g: "00" +32926-32975 rgb_led_ws281x: r: "7d" +32975-33025 rgb_led_ws281x: b: "00" 32876-33025 rgb_led_ws281x: rgb: "#7d0000" 33025-33031 rgb_led_ws281x: bit: "0" 33031-33037 rgb_led_ws281x: bit: "0" @@ -4747,6 +5314,9 @@ 33154-33160 rgb_led_ws281x: bit: "0" 33160-33166 rgb_led_ws281x: bit: "0" 33166-33173 rgb_led_ws281x: bit: "0" +33025-33074 rgb_led_ws281x: g: "00" +33074-33123 rgb_led_ws281x: r: "ff" +33123-33173 rgb_led_ws281x: b: "00" 33025-33173 rgb_led_ws281x: rgb: "#ff0000" 33173-33179 rgb_led_ws281x: bit: "0" 33179-33185 rgb_led_ws281x: bit: "0" @@ -4772,6 +5342,9 @@ 33302-33308 rgb_led_ws281x: bit: "0" 33308-33314 rgb_led_ws281x: bit: "0" 33314-33321 rgb_led_ws281x: bit: "0" +33173-33222 rgb_led_ws281x: g: "00" +33222-33271 rgb_led_ws281x: r: "ff" +33271-33321 rgb_led_ws281x: b: "00" 33173-33321 rgb_led_ws281x: rgb: "#ff0000" 33321-33327 rgb_led_ws281x: bit: "0" 33327-33333 rgb_led_ws281x: bit: "0" @@ -4797,6 +5370,9 @@ 33450-33456 rgb_led_ws281x: bit: "0" 33456-33462 rgb_led_ws281x: bit: "0" 33462-33469 rgb_led_ws281x: bit: "0" +33321-33370 rgb_led_ws281x: g: "00" +33370-33419 rgb_led_ws281x: r: "f7" +33419-33469 rgb_led_ws281x: b: "00" 33321-33469 rgb_led_ws281x: rgb: "#f70000" 33469-33475 rgb_led_ws281x: bit: "0" 33475-33481 rgb_led_ws281x: bit: "0" @@ -4822,6 +5398,9 @@ 33598-33604 rgb_led_ws281x: bit: "0" 33604-33610 rgb_led_ws281x: bit: "0" 33610-33617 rgb_led_ws281x: bit: "0" +33469-33518 rgb_led_ws281x: g: "00" +33518-33567 rgb_led_ws281x: r: "ef" +33567-33617 rgb_led_ws281x: b: "00" 33469-33617 rgb_led_ws281x: rgb: "#ef0000" 33617-33623 rgb_led_ws281x: bit: "0" 33623-33629 rgb_led_ws281x: bit: "0" @@ -4847,6 +5426,9 @@ 33746-33752 rgb_led_ws281x: bit: "0" 33752-33759 rgb_led_ws281x: bit: "0" 33759-33765 rgb_led_ws281x: bit: "0" +33617-33666 rgb_led_ws281x: g: "00" +33666-33715 rgb_led_ws281x: r: "df" +33715-33765 rgb_led_ws281x: b: "00" 33617-33765 rgb_led_ws281x: rgb: "#df0000" 33765-33771 rgb_led_ws281x: bit: "0" 33771-33777 rgb_led_ws281x: bit: "0" @@ -4872,6 +5454,9 @@ 33894-33901 rgb_led_ws281x: bit: "0" 33901-33907 rgb_led_ws281x: bit: "0" 33907-33913 rgb_led_ws281x: bit: "0" +33765-33814 rgb_led_ws281x: g: "00" +33814-33864 rgb_led_ws281x: r: "bf" +33864-33913 rgb_led_ws281x: b: "00" 33765-33913 rgb_led_ws281x: rgb: "#bf0000" 33913-33919 rgb_led_ws281x: bit: "0" 33919-33926 rgb_led_ws281x: bit: "0" @@ -4897,6 +5482,9 @@ 34042-34049 rgb_led_ws281x: bit: "0" 34049-34055 rgb_led_ws281x: bit: "0" 34055-34061 rgb_led_ws281x: bit: "0" +33913-33963 rgb_led_ws281x: g: "00" +33963-34012 rgb_led_ws281x: r: "7e" +34012-34061 rgb_led_ws281x: b: "00" 33913-34061 rgb_led_ws281x: rgb: "#7e0000" 34061-34068 rgb_led_ws281x: bit: "0" 34068-34074 rgb_led_ws281x: bit: "0" @@ -4922,6 +5510,9 @@ 34191-34197 rgb_led_ws281x: bit: "0" 34197-34203 rgb_led_ws281x: bit: "0" 34203-34210 rgb_led_ws281x: bit: "0" +34061-34111 rgb_led_ws281x: g: "00" +34111-34160 rgb_led_ws281x: r: "7d" +34160-34210 rgb_led_ws281x: b: "00" 34061-34210 rgb_led_ws281x: rgb: "#7d0000" 34210-34216 rgb_led_ws281x: bit: "0" 34216-34222 rgb_led_ws281x: bit: "0" @@ -4947,6 +5538,9 @@ 34339-34345 rgb_led_ws281x: bit: "0" 34345-34351 rgb_led_ws281x: bit: "0" 34351-34358 rgb_led_ws281x: bit: "0" +34210-34259 rgb_led_ws281x: g: "00" +34259-34308 rgb_led_ws281x: r: "ff" +34308-34358 rgb_led_ws281x: b: "00" 34210-34358 rgb_led_ws281x: rgb: "#ff0000" 34358-34364 rgb_led_ws281x: bit: "0" 34364-34370 rgb_led_ws281x: bit: "0" @@ -4972,6 +5566,9 @@ 34487-34493 rgb_led_ws281x: bit: "0" 34493-34499 rgb_led_ws281x: bit: "0" 34499-34506 rgb_led_ws281x: bit: "0" +34358-34407 rgb_led_ws281x: g: "00" +34407-34456 rgb_led_ws281x: r: "ff" +34456-34506 rgb_led_ws281x: b: "00" 34358-34506 rgb_led_ws281x: rgb: "#ff0000" 34506-34512 rgb_led_ws281x: bit: "0" 34512-34518 rgb_led_ws281x: bit: "0" @@ -4997,6 +5594,9 @@ 34635-34641 rgb_led_ws281x: bit: "0" 34641-34647 rgb_led_ws281x: bit: "0" 34647-34654 rgb_led_ws281x: bit: "0" +34506-34555 rgb_led_ws281x: g: "00" +34555-34604 rgb_led_ws281x: r: "f7" +34604-34654 rgb_led_ws281x: b: "00" 34506-34654 rgb_led_ws281x: rgb: "#f70000" 34654-34660 rgb_led_ws281x: bit: "0" 34660-34666 rgb_led_ws281x: bit: "0" @@ -5022,6 +5622,9 @@ 34783-34789 rgb_led_ws281x: bit: "0" 34789-34795 rgb_led_ws281x: bit: "0" 34795-34802 rgb_led_ws281x: bit: "0" +34654-34703 rgb_led_ws281x: g: "00" +34703-34752 rgb_led_ws281x: r: "ef" +34752-34802 rgb_led_ws281x: b: "00" 34654-34802 rgb_led_ws281x: rgb: "#ef0000" 34802-34808 rgb_led_ws281x: bit: "0" 34808-34814 rgb_led_ws281x: bit: "0" @@ -5047,6 +5650,9 @@ 34931-34937 rgb_led_ws281x: bit: "0" 34937-34944 rgb_led_ws281x: bit: "0" 34944-34950 rgb_led_ws281x: bit: "0" +34802-34851 rgb_led_ws281x: g: "00" +34851-34900 rgb_led_ws281x: r: "df" +34900-34950 rgb_led_ws281x: b: "00" 34802-34950 rgb_led_ws281x: rgb: "#df0000" 34950-34956 rgb_led_ws281x: bit: "0" 34956-34962 rgb_led_ws281x: bit: "0" @@ -5072,6 +5678,9 @@ 35079-35086 rgb_led_ws281x: bit: "0" 35086-35092 rgb_led_ws281x: bit: "0" 35092-35098 rgb_led_ws281x: bit: "0" +34950-34999 rgb_led_ws281x: g: "00" +34999-35049 rgb_led_ws281x: r: "bf" +35049-35098 rgb_led_ws281x: b: "00" 34950-35098 rgb_led_ws281x: rgb: "#bf0000" 35098-35104 rgb_led_ws281x: bit: "0" 35104-35111 rgb_led_ws281x: bit: "0" @@ -5097,6 +5706,9 @@ 35227-35234 rgb_led_ws281x: bit: "0" 35234-35240 rgb_led_ws281x: bit: "0" 35240-35246 rgb_led_ws281x: bit: "0" +35098-35148 rgb_led_ws281x: g: "00" +35148-35197 rgb_led_ws281x: r: "7e" +35197-35246 rgb_led_ws281x: b: "00" 35098-35246 rgb_led_ws281x: rgb: "#7e0000" 35246-35253 rgb_led_ws281x: bit: "0" 35253-35259 rgb_led_ws281x: bit: "0" @@ -5122,6 +5734,9 @@ 35376-35382 rgb_led_ws281x: bit: "0" 35382-35388 rgb_led_ws281x: bit: "0" 35388-35395 rgb_led_ws281x: bit: "0" +35246-35296 rgb_led_ws281x: g: "00" +35296-35345 rgb_led_ws281x: r: "fd" +35345-35395 rgb_led_ws281x: b: "00" 35246-35395 rgb_led_ws281x: rgb: "#fd0000" 35395-35401 rgb_led_ws281x: bit: "0" 35401-35407 rgb_led_ws281x: bit: "0" @@ -5147,6 +5762,9 @@ 35524-35530 rgb_led_ws281x: bit: "0" 35530-35536 rgb_led_ws281x: bit: "0" 35536-35543 rgb_led_ws281x: bit: "0" +35395-35444 rgb_led_ws281x: g: "00" +35444-35493 rgb_led_ws281x: r: "fb" +35493-35543 rgb_led_ws281x: b: "00" 35395-35543 rgb_led_ws281x: rgb: "#fb0000" 35543-35549 rgb_led_ws281x: bit: "0" 35549-35555 rgb_led_ws281x: bit: "0" @@ -5172,6 +5790,9 @@ 35672-35678 rgb_led_ws281x: bit: "0" 35678-35684 rgb_led_ws281x: bit: "0" 35684-35691 rgb_led_ws281x: bit: "0" +35543-35592 rgb_led_ws281x: g: "00" +35592-35641 rgb_led_ws281x: r: "f7" +35641-35691 rgb_led_ws281x: b: "00" 35543-35691 rgb_led_ws281x: rgb: "#f70000" 35691-35697 rgb_led_ws281x: bit: "0" 35697-35703 rgb_led_ws281x: bit: "0" @@ -5197,6 +5818,9 @@ 35820-35826 rgb_led_ws281x: bit: "0" 35826-35832 rgb_led_ws281x: bit: "0" 35832-35839 rgb_led_ws281x: bit: "0" +35691-35740 rgb_led_ws281x: g: "00" +35740-35789 rgb_led_ws281x: r: "ff" +35789-35839 rgb_led_ws281x: b: "00" 35691-35839 rgb_led_ws281x: rgb: "#ff0000" 35839-35845 rgb_led_ws281x: bit: "0" 35845-35851 rgb_led_ws281x: bit: "0" @@ -5222,6 +5846,9 @@ 35968-35974 rgb_led_ws281x: bit: "0" 35974-35980 rgb_led_ws281x: bit: "0" 35980-35987 rgb_led_ws281x: bit: "0" +35839-35888 rgb_led_ws281x: g: "00" +35888-35937 rgb_led_ws281x: r: "ef" +35937-35987 rgb_led_ws281x: b: "00" 35839-35987 rgb_led_ws281x: rgb: "#ef0000" 35987-35993 rgb_led_ws281x: bit: "0" 35993-35999 rgb_led_ws281x: bit: "0" @@ -5247,6 +5874,9 @@ 36116-36122 rgb_led_ws281x: bit: "0" 36122-36129 rgb_led_ws281x: bit: "0" 36129-36135 rgb_led_ws281x: bit: "0" +35987-36036 rgb_led_ws281x: g: "00" +36036-36086 rgb_led_ws281x: r: "df" +36086-36135 rgb_led_ws281x: b: "00" 35987-36135 rgb_led_ws281x: rgb: "#df0000" 36135-36141 rgb_led_ws281x: bit: "0" 36141-36147 rgb_led_ws281x: bit: "0" @@ -5272,6 +5902,9 @@ 36264-36271 rgb_led_ws281x: bit: "0" 36271-36277 rgb_led_ws281x: bit: "0" 36277-36283 rgb_led_ws281x: bit: "0" +36135-36184 rgb_led_ws281x: g: "00" +36184-36234 rgb_led_ws281x: r: "bf" +36234-36283 rgb_led_ws281x: b: "00" 36135-36283 rgb_led_ws281x: rgb: "#bf0000" 36283-36289 rgb_led_ws281x: bit: "0" 36289-36296 rgb_led_ws281x: bit: "0" @@ -5297,6 +5930,9 @@ 36412-36419 rgb_led_ws281x: bit: "0" 36419-36425 rgb_led_ws281x: bit: "0" 36425-36431 rgb_led_ws281x: bit: "0" +36283-36333 rgb_led_ws281x: g: "00" +36333-36382 rgb_led_ws281x: r: "7e" +36382-36431 rgb_led_ws281x: b: "00" 36283-36431 rgb_led_ws281x: rgb: "#7e0000" 36431-36438 rgb_led_ws281x: bit: "0" 36438-36444 rgb_led_ws281x: bit: "0" @@ -5322,6 +5958,9 @@ 36561-36567 rgb_led_ws281x: bit: "0" 36567-36573 rgb_led_ws281x: bit: "0" 36573-36580 rgb_led_ws281x: bit: "0" +36431-36481 rgb_led_ws281x: g: "00" +36481-36530 rgb_led_ws281x: r: "fd" +36530-36580 rgb_led_ws281x: b: "00" 36431-36580 rgb_led_ws281x: rgb: "#fd0000" 36580-36586 rgb_led_ws281x: bit: "0" 36586-36592 rgb_led_ws281x: bit: "0" @@ -5347,6 +5986,9 @@ 36709-36715 rgb_led_ws281x: bit: "0" 36715-36721 rgb_led_ws281x: bit: "0" 36721-36728 rgb_led_ws281x: bit: "0" +36580-36629 rgb_led_ws281x: g: "00" +36629-36678 rgb_led_ws281x: r: "fb" +36678-36728 rgb_led_ws281x: b: "00" 36580-36728 rgb_led_ws281x: rgb: "#fb0000" 36728-36734 rgb_led_ws281x: bit: "0" 36734-36740 rgb_led_ws281x: bit: "0" @@ -5372,6 +6014,9 @@ 36857-36863 rgb_led_ws281x: bit: "0" 36863-36869 rgb_led_ws281x: bit: "0" 36869-36876 rgb_led_ws281x: bit: "0" +36728-36777 rgb_led_ws281x: g: "00" +36777-36826 rgb_led_ws281x: r: "f7" +36826-36876 rgb_led_ws281x: b: "00" 36728-36876 rgb_led_ws281x: rgb: "#f70000" 36876-36882 rgb_led_ws281x: bit: "0" 36882-36888 rgb_led_ws281x: bit: "0" @@ -5397,6 +6042,9 @@ 37005-37011 rgb_led_ws281x: bit: "0" 37011-37017 rgb_led_ws281x: bit: "0" 37017-37024 rgb_led_ws281x: bit: "0" +36876-36925 rgb_led_ws281x: g: "00" +36925-36974 rgb_led_ws281x: r: "ff" +36974-37024 rgb_led_ws281x: b: "00" 36876-37024 rgb_led_ws281x: rgb: "#ff0000" 37024-37030 rgb_led_ws281x: bit: "0" 37030-37036 rgb_led_ws281x: bit: "0" @@ -5422,6 +6070,9 @@ 37153-37159 rgb_led_ws281x: bit: "0" 37159-37165 rgb_led_ws281x: bit: "0" 37165-37172 rgb_led_ws281x: bit: "0" +37024-37073 rgb_led_ws281x: g: "00" +37073-37122 rgb_led_ws281x: r: "ef" +37122-37172 rgb_led_ws281x: b: "00" 37024-37172 rgb_led_ws281x: rgb: "#ef0000" 37172-37178 rgb_led_ws281x: bit: "0" 37178-37184 rgb_led_ws281x: bit: "0" @@ -5447,6 +6098,9 @@ 37301-37307 rgb_led_ws281x: bit: "0" 37307-37314 rgb_led_ws281x: bit: "0" 37314-37320 rgb_led_ws281x: bit: "0" +37172-37221 rgb_led_ws281x: g: "00" +37221-37271 rgb_led_ws281x: r: "df" +37271-37320 rgb_led_ws281x: b: "00" 37172-37320 rgb_led_ws281x: rgb: "#df0000" 37320-37326 rgb_led_ws281x: bit: "0" 37326-37332 rgb_led_ws281x: bit: "0" @@ -5472,6 +6126,9 @@ 37449-37456 rgb_led_ws281x: bit: "0" 37456-37462 rgb_led_ws281x: bit: "0" 37462-37468 rgb_led_ws281x: bit: "0" +37320-37369 rgb_led_ws281x: g: "00" +37369-37419 rgb_led_ws281x: r: "bf" +37419-37468 rgb_led_ws281x: b: "00" 37320-37468 rgb_led_ws281x: rgb: "#bf0000" 37468-37474 rgb_led_ws281x: bit: "0" 37474-37481 rgb_led_ws281x: bit: "0" @@ -5497,6 +6154,9 @@ 37598-37604 rgb_led_ws281x: bit: "0" 37604-37610 rgb_led_ws281x: bit: "0" 37610-37616 rgb_led_ws281x: bit: "0" +37468-37518 rgb_led_ws281x: g: "00" +37518-37567 rgb_led_ws281x: r: "7f" +37567-37616 rgb_led_ws281x: b: "00" 37468-37616 rgb_led_ws281x: rgb: "#7f0000" 37616-37623 rgb_led_ws281x: bit: "0" 37623-37629 rgb_led_ws281x: bit: "0" @@ -5522,6 +6182,9 @@ 37746-37752 rgb_led_ws281x: bit: "0" 37752-37758 rgb_led_ws281x: bit: "0" 37758-37765 rgb_led_ws281x: bit: "0" +37616-37666 rgb_led_ws281x: g: "00" +37666-37715 rgb_led_ws281x: r: "fd" +37715-37765 rgb_led_ws281x: b: "00" 37616-37765 rgb_led_ws281x: rgb: "#fd0000" 37765-37771 rgb_led_ws281x: bit: "0" 37771-37777 rgb_led_ws281x: bit: "0" @@ -5547,6 +6210,9 @@ 37894-37900 rgb_led_ws281x: bit: "0" 37900-37906 rgb_led_ws281x: bit: "0" 37906-37913 rgb_led_ws281x: bit: "0" +37765-37814 rgb_led_ws281x: g: "00" +37814-37863 rgb_led_ws281x: r: "fb" +37863-37913 rgb_led_ws281x: b: "00" 37765-37913 rgb_led_ws281x: rgb: "#fb0000" 37913-37919 rgb_led_ws281x: bit: "0" 37919-37925 rgb_led_ws281x: bit: "0" @@ -5572,6 +6238,9 @@ 38042-38048 rgb_led_ws281x: bit: "0" 38048-38054 rgb_led_ws281x: bit: "0" 38054-38061 rgb_led_ws281x: bit: "0" +37913-37962 rgb_led_ws281x: g: "00" +37962-38011 rgb_led_ws281x: r: "f7" +38011-38061 rgb_led_ws281x: b: "00" 37913-38061 rgb_led_ws281x: rgb: "#f70000" 38061-38067 rgb_led_ws281x: bit: "0" 38067-38073 rgb_led_ws281x: bit: "0" @@ -5597,6 +6266,9 @@ 38190-38196 rgb_led_ws281x: bit: "0" 38196-38202 rgb_led_ws281x: bit: "0" 38202-38209 rgb_led_ws281x: bit: "0" +38061-38110 rgb_led_ws281x: g: "00" +38110-38159 rgb_led_ws281x: r: "ef" +38159-38209 rgb_led_ws281x: b: "00" 38061-38209 rgb_led_ws281x: rgb: "#ef0000" 38209-38215 rgb_led_ws281x: bit: "0" 38215-38221 rgb_led_ws281x: bit: "0" @@ -5622,6 +6294,9 @@ 38338-38344 rgb_led_ws281x: bit: "0" 38344-38350 rgb_led_ws281x: bit: "0" 38350-38357 rgb_led_ws281x: bit: "0" +38209-38258 rgb_led_ws281x: g: "00" +38258-38307 rgb_led_ws281x: r: "ff" +38307-38357 rgb_led_ws281x: b: "00" 38209-38357 rgb_led_ws281x: rgb: "#ff0000" 38357-38363 rgb_led_ws281x: bit: "0" 38363-38369 rgb_led_ws281x: bit: "0" @@ -5647,6 +6322,9 @@ 38486-38492 rgb_led_ws281x: bit: "0" 38492-38499 rgb_led_ws281x: bit: "0" 38499-38505 rgb_led_ws281x: bit: "0" +38357-38406 rgb_led_ws281x: g: "00" +38406-38456 rgb_led_ws281x: r: "df" +38456-38505 rgb_led_ws281x: b: "00" 38357-38505 rgb_led_ws281x: rgb: "#df0000" 38505-38511 rgb_led_ws281x: bit: "0" 38511-38518 rgb_led_ws281x: bit: "0" @@ -5672,6 +6350,9 @@ 38634-38641 rgb_led_ws281x: bit: "0" 38641-38647 rgb_led_ws281x: bit: "0" 38647-38653 rgb_led_ws281x: bit: "0" +38505-38554 rgb_led_ws281x: g: "00" +38554-38604 rgb_led_ws281x: r: "be" +38604-38653 rgb_led_ws281x: b: "00" 38505-38653 rgb_led_ws281x: rgb: "#be0000" 38653-38659 rgb_led_ws281x: bit: "0" 38659-38666 rgb_led_ws281x: bit: "0" @@ -5697,6 +6378,9 @@ 38783-38789 rgb_led_ws281x: bit: "0" 38789-38795 rgb_led_ws281x: bit: "0" 38795-38801 rgb_led_ws281x: bit: "0" +38653-38703 rgb_led_ws281x: g: "00" +38703-38752 rgb_led_ws281x: r: "7f" +38752-38801 rgb_led_ws281x: b: "00" 38653-38801 rgb_led_ws281x: rgb: "#7f0000" 38801-38808 rgb_led_ws281x: bit: "0" 38808-38814 rgb_led_ws281x: bit: "0" @@ -5722,6 +6406,9 @@ 38931-38937 rgb_led_ws281x: bit: "0" 38937-38943 rgb_led_ws281x: bit: "0" 38943-38950 rgb_led_ws281x: bit: "0" +38801-38851 rgb_led_ws281x: g: "00" +38851-38900 rgb_led_ws281x: r: "fd" +38900-38950 rgb_led_ws281x: b: "00" 38801-38950 rgb_led_ws281x: rgb: "#fd0000" 38950-38956 rgb_led_ws281x: bit: "0" 38956-38962 rgb_led_ws281x: bit: "0" @@ -5747,6 +6434,9 @@ 39079-39085 rgb_led_ws281x: bit: "0" 39085-39091 rgb_led_ws281x: bit: "0" 39091-39098 rgb_led_ws281x: bit: "0" +38950-38999 rgb_led_ws281x: g: "00" +38999-39048 rgb_led_ws281x: r: "fb" +39048-39098 rgb_led_ws281x: b: "00" 38950-39098 rgb_led_ws281x: rgb: "#fb0000" 39098-39104 rgb_led_ws281x: bit: "0" 39104-39110 rgb_led_ws281x: bit: "0" @@ -5772,6 +6462,9 @@ 39227-39233 rgb_led_ws281x: bit: "0" 39233-39239 rgb_led_ws281x: bit: "0" 39239-39246 rgb_led_ws281x: bit: "0" +39098-39147 rgb_led_ws281x: g: "00" +39147-39196 rgb_led_ws281x: r: "f7" +39196-39246 rgb_led_ws281x: b: "00" 39098-39246 rgb_led_ws281x: rgb: "#f70000" 39246-39252 rgb_led_ws281x: bit: "0" 39252-39258 rgb_led_ws281x: bit: "0" @@ -5797,6 +6490,9 @@ 39375-39381 rgb_led_ws281x: bit: "0" 39381-39387 rgb_led_ws281x: bit: "0" 39387-39394 rgb_led_ws281x: bit: "0" +39246-39295 rgb_led_ws281x: g: "00" +39295-39344 rgb_led_ws281x: r: "ef" +39344-39394 rgb_led_ws281x: b: "00" 39246-39394 rgb_led_ws281x: rgb: "#ef0000" 39394-39400 rgb_led_ws281x: bit: "0" 39400-39406 rgb_led_ws281x: bit: "0" @@ -5822,6 +6518,9 @@ 39523-39529 rgb_led_ws281x: bit: "0" 39529-39535 rgb_led_ws281x: bit: "0" 39535-39542 rgb_led_ws281x: bit: "0" +39394-39443 rgb_led_ws281x: g: "00" +39443-39492 rgb_led_ws281x: r: "ff" +39492-39542 rgb_led_ws281x: b: "00" 39394-39542 rgb_led_ws281x: rgb: "#ff0000" 39542-39548 rgb_led_ws281x: bit: "0" 39548-39554 rgb_led_ws281x: bit: "0" @@ -5847,6 +6546,9 @@ 39671-39677 rgb_led_ws281x: bit: "0" 39677-39684 rgb_led_ws281x: bit: "0" 39684-39690 rgb_led_ws281x: bit: "0" +39542-39591 rgb_led_ws281x: g: "00" +39591-39641 rgb_led_ws281x: r: "df" +39641-39690 rgb_led_ws281x: b: "00" 39542-39690 rgb_led_ws281x: rgb: "#df0000" 39690-39696 rgb_led_ws281x: bit: "0" 39696-39703 rgb_led_ws281x: bit: "0" @@ -5872,6 +6574,9 @@ 39819-39826 rgb_led_ws281x: bit: "0" 39826-39832 rgb_led_ws281x: bit: "0" 39832-39838 rgb_led_ws281x: bit: "0" +39690-39739 rgb_led_ws281x: g: "00" +39739-39789 rgb_led_ws281x: r: "be" +39789-39838 rgb_led_ws281x: b: "00" 39690-39838 rgb_led_ws281x: rgb: "#be0000" 39838-39844 rgb_led_ws281x: bit: "0" 39844-39851 rgb_led_ws281x: bit: "0" @@ -5897,6 +6602,9 @@ 39968-39974 rgb_led_ws281x: bit: "0" 39974-39980 rgb_led_ws281x: bit: "0" 39980-39986 rgb_led_ws281x: bit: "0" +39838-39888 rgb_led_ws281x: g: "00" +39888-39937 rgb_led_ws281x: r: "7f" +39937-39986 rgb_led_ws281x: b: "00" 39838-39986 rgb_led_ws281x: rgb: "#7f0000" 39986-39993 rgb_led_ws281x: bit: "0" 39993-39999 rgb_led_ws281x: bit: "0" @@ -5922,6 +6630,9 @@ 40116-40122 rgb_led_ws281x: bit: "0" 40122-40128 rgb_led_ws281x: bit: "0" 40128-40135 rgb_led_ws281x: bit: "0" +39986-40036 rgb_led_ws281x: g: "00" +40036-40085 rgb_led_ws281x: r: "fd" +40085-40135 rgb_led_ws281x: b: "00" 39986-40135 rgb_led_ws281x: rgb: "#fd0000" 40135-40141 rgb_led_ws281x: bit: "0" 40141-40147 rgb_led_ws281x: bit: "0" @@ -5947,6 +6658,9 @@ 40264-40270 rgb_led_ws281x: bit: "0" 40270-40276 rgb_led_ws281x: bit: "0" 40276-40283 rgb_led_ws281x: bit: "0" +40135-40184 rgb_led_ws281x: g: "00" +40184-40233 rgb_led_ws281x: r: "fb" +40233-40283 rgb_led_ws281x: b: "00" 40135-40283 rgb_led_ws281x: rgb: "#fb0000" 40283-40289 rgb_led_ws281x: bit: "0" 40289-40295 rgb_led_ws281x: bit: "0" @@ -5972,6 +6686,9 @@ 40412-40418 rgb_led_ws281x: bit: "0" 40418-40424 rgb_led_ws281x: bit: "0" 40424-40431 rgb_led_ws281x: bit: "0" +40283-40332 rgb_led_ws281x: g: "00" +40332-40381 rgb_led_ws281x: r: "f7" +40381-40431 rgb_led_ws281x: b: "00" 40283-40431 rgb_led_ws281x: rgb: "#f70000" 40431-40437 rgb_led_ws281x: bit: "0" 40437-40443 rgb_led_ws281x: bit: "0" @@ -5997,6 +6714,9 @@ 40560-40566 rgb_led_ws281x: bit: "0" 40566-40572 rgb_led_ws281x: bit: "0" 40572-40579 rgb_led_ws281x: bit: "0" +40431-40480 rgb_led_ws281x: g: "00" +40480-40529 rgb_led_ws281x: r: "ef" +40529-40579 rgb_led_ws281x: b: "00" 40431-40579 rgb_led_ws281x: rgb: "#ef0000" 40579-40585 rgb_led_ws281x: bit: "0" 40585-40591 rgb_led_ws281x: bit: "0" @@ -6022,6 +6742,9 @@ 40708-40714 rgb_led_ws281x: bit: "0" 40714-40721 rgb_led_ws281x: bit: "0" 40721-40727 rgb_led_ws281x: bit: "0" +40579-40628 rgb_led_ws281x: g: "00" +40628-40677 rgb_led_ws281x: r: "df" +40677-40727 rgb_led_ws281x: b: "00" 40579-40727 rgb_led_ws281x: rgb: "#df0000" 40727-40733 rgb_led_ws281x: bit: "0" 40733-40739 rgb_led_ws281x: bit: "0" @@ -6047,6 +6770,9 @@ 40856-40862 rgb_led_ws281x: bit: "0" 40862-40869 rgb_led_ws281x: bit: "0" 40869-40875 rgb_led_ws281x: bit: "0" +40727-40776 rgb_led_ws281x: g: "00" +40776-40826 rgb_led_ws281x: r: "ff" +40826-40875 rgb_led_ws281x: b: "00" 40727-40875 rgb_led_ws281x: rgb: "#ff0000" 40875-40881 rgb_led_ws281x: bit: "0" 40881-40888 rgb_led_ws281x: bit: "0" @@ -6072,6 +6798,9 @@ 41004-41011 rgb_led_ws281x: bit: "0" 41011-41017 rgb_led_ws281x: bit: "0" 41017-41023 rgb_led_ws281x: bit: "0" +40875-40924 rgb_led_ws281x: g: "00" +40924-40974 rgb_led_ws281x: r: "be" +40974-41023 rgb_led_ws281x: b: "00" 40875-41023 rgb_led_ws281x: rgb: "#be0000" 41023-41030 rgb_led_ws281x: bit: "0" 41030-41036 rgb_led_ws281x: bit: "0" @@ -6097,6 +6826,9 @@ 41153-41159 rgb_led_ws281x: bit: "0" 41159-41165 rgb_led_ws281x: bit: "0" 41165-41171 rgb_led_ws281x: bit: "0" +41023-41073 rgb_led_ws281x: g: "00" +41073-41122 rgb_led_ws281x: r: "7d" +41122-41171 rgb_led_ws281x: b: "00" 41023-41171 rgb_led_ws281x: rgb: "#7d0000" 41171-41178 rgb_led_ws281x: bit: "0" 41178-41184 rgb_led_ws281x: bit: "0" @@ -6122,6 +6854,9 @@ 41301-41307 rgb_led_ws281x: bit: "0" 41307-41313 rgb_led_ws281x: bit: "0" 41313-41320 rgb_led_ws281x: bit: "0" +41171-41221 rgb_led_ws281x: g: "00" +41221-41270 rgb_led_ws281x: r: "ff" +41270-41320 rgb_led_ws281x: b: "00" 41171-41320 rgb_led_ws281x: rgb: "#ff0000" 41320-41326 rgb_led_ws281x: bit: "0" 41326-41332 rgb_led_ws281x: bit: "0" @@ -6147,6 +6882,9 @@ 41449-41455 rgb_led_ws281x: bit: "0" 41455-41461 rgb_led_ws281x: bit: "0" 41461-41468 rgb_led_ws281x: bit: "0" +41320-41369 rgb_led_ws281x: g: "00" +41369-41418 rgb_led_ws281x: r: "ff" +41418-41468 rgb_led_ws281x: b: "00" 41320-41468 rgb_led_ws281x: rgb: "#ff0000" 41468-41474 rgb_led_ws281x: bit: "0" 41474-41480 rgb_led_ws281x: bit: "0" @@ -6172,6 +6910,9 @@ 41597-41603 rgb_led_ws281x: bit: "0" 41603-41609 rgb_led_ws281x: bit: "0" 41609-41616 rgb_led_ws281x: bit: "0" +41468-41517 rgb_led_ws281x: g: "00" +41517-41566 rgb_led_ws281x: r: "f7" +41566-41616 rgb_led_ws281x: b: "00" 41468-41616 rgb_led_ws281x: rgb: "#f70000" 41616-41622 rgb_led_ws281x: bit: "0" 41622-41628 rgb_led_ws281x: bit: "0" @@ -6197,6 +6938,9 @@ 41745-41751 rgb_led_ws281x: bit: "0" 41751-41757 rgb_led_ws281x: bit: "0" 41757-41764 rgb_led_ws281x: bit: "0" +41616-41665 rgb_led_ws281x: g: "00" +41665-41714 rgb_led_ws281x: r: "ef" +41714-41764 rgb_led_ws281x: b: "00" 41616-41764 rgb_led_ws281x: rgb: "#ef0000" 41764-41770 rgb_led_ws281x: bit: "0" 41770-41776 rgb_led_ws281x: bit: "0" @@ -6222,6 +6966,9 @@ 41893-41899 rgb_led_ws281x: bit: "0" 41899-41906 rgb_led_ws281x: bit: "0" 41906-41912 rgb_led_ws281x: bit: "0" +41764-41813 rgb_led_ws281x: g: "00" +41813-41862 rgb_led_ws281x: r: "df" +41862-41912 rgb_led_ws281x: b: "00" 41764-41912 rgb_led_ws281x: rgb: "#df0000" 41912-41918 rgb_led_ws281x: bit: "0" 41918-41924 rgb_led_ws281x: bit: "0" @@ -6247,6 +6994,9 @@ 42041-42048 rgb_led_ws281x: bit: "0" 42048-42054 rgb_led_ws281x: bit: "0" 42054-42060 rgb_led_ws281x: bit: "0" +41912-41961 rgb_led_ws281x: g: "00" +41961-42011 rgb_led_ws281x: r: "bf" +42011-42060 rgb_led_ws281x: b: "00" 41912-42060 rgb_led_ws281x: rgb: "#bf0000" 42060-42066 rgb_led_ws281x: bit: "0" 42066-42073 rgb_led_ws281x: bit: "0" @@ -6272,6 +7022,9 @@ 42189-42196 rgb_led_ws281x: bit: "0" 42196-42202 rgb_led_ws281x: bit: "0" 42202-42208 rgb_led_ws281x: bit: "0" +42060-42109 rgb_led_ws281x: g: "00" +42109-42159 rgb_led_ws281x: r: "fe" +42159-42208 rgb_led_ws281x: b: "00" 42060-42208 rgb_led_ws281x: rgb: "#fe0000" 42208-42215 rgb_led_ws281x: bit: "0" 42215-42221 rgb_led_ws281x: bit: "0" @@ -6297,6 +7050,9 @@ 42338-42344 rgb_led_ws281x: bit: "0" 42344-42350 rgb_led_ws281x: bit: "0" 42350-42357 rgb_led_ws281x: bit: "0" +42208-42258 rgb_led_ws281x: g: "00" +42258-42307 rgb_led_ws281x: r: "7d" +42307-42357 rgb_led_ws281x: b: "00" 42208-42357 rgb_led_ws281x: rgb: "#7d0000" 42357-42363 rgb_led_ws281x: bit: "0" 42363-42369 rgb_led_ws281x: bit: "0" @@ -6322,6 +7078,9 @@ 42486-42492 rgb_led_ws281x: bit: "0" 42492-42498 rgb_led_ws281x: bit: "0" 42498-42505 rgb_led_ws281x: bit: "0" +42357-42406 rgb_led_ws281x: g: "00" +42406-42455 rgb_led_ws281x: r: "ff" +42455-42505 rgb_led_ws281x: b: "00" 42357-42505 rgb_led_ws281x: rgb: "#ff0000" 42505-42511 rgb_led_ws281x: bit: "0" 42511-42517 rgb_led_ws281x: bit: "0" @@ -6347,6 +7106,9 @@ 42634-42640 rgb_led_ws281x: bit: "0" 42640-42646 rgb_led_ws281x: bit: "0" 42646-42653 rgb_led_ws281x: bit: "0" +42505-42554 rgb_led_ws281x: g: "00" +42554-42603 rgb_led_ws281x: r: "ff" +42603-42653 rgb_led_ws281x: b: "00" 42505-42653 rgb_led_ws281x: rgb: "#ff0000" 42653-42659 rgb_led_ws281x: bit: "0" 42659-42665 rgb_led_ws281x: bit: "0" @@ -6371,6 +7133,9 @@ 42776-42782 rgb_led_ws281x: bit: "0" 42782-42788 rgb_led_ws281x: bit: "0" 42788-42794 rgb_led_ws281x: bit: "0" +42653-42702 rgb_led_ws281x: g: "00" +42702-42751 rgb_led_ws281x: r: "f7" +42751-42796 rgb_led_ws281x: b: "00" 42653-42796 rgb_led_ws281x: rgb: "#f70000" 42794-42796 rgb_led_ws281x: bit: "0" 42796-43047 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -6398,6 +7163,9 @@ 54722-54728 rgb_led_ws281x: bit: "0" 54728-54734 rgb_led_ws281x: bit: "0" 54734-54741 rgb_led_ws281x: bit: "0" +54593-54642 rgb_led_ws281x: g: "00" +54642-54691 rgb_led_ws281x: r: "fb" +54691-54741 rgb_led_ws281x: b: "00" 54593-54741 rgb_led_ws281x: rgb: "#fb0000" 54741-54747 rgb_led_ws281x: bit: "0" 54747-54753 rgb_led_ws281x: bit: "0" @@ -6423,6 +7191,9 @@ 54870-54876 rgb_led_ws281x: bit: "0" 54876-54882 rgb_led_ws281x: bit: "0" 54882-54889 rgb_led_ws281x: bit: "0" +54741-54790 rgb_led_ws281x: g: "00" +54790-54839 rgb_led_ws281x: r: "f7" +54839-54889 rgb_led_ws281x: b: "00" 54741-54889 rgb_led_ws281x: rgb: "#f70000" 54889-54895 rgb_led_ws281x: bit: "0" 54895-54901 rgb_led_ws281x: bit: "0" @@ -6448,6 +7219,9 @@ 55018-55024 rgb_led_ws281x: bit: "0" 55024-55030 rgb_led_ws281x: bit: "0" 55030-55037 rgb_led_ws281x: bit: "0" +54889-54938 rgb_led_ws281x: g: "00" +54938-54987 rgb_led_ws281x: r: "ef" +54987-55037 rgb_led_ws281x: b: "00" 54889-55037 rgb_led_ws281x: rgb: "#ef0000" 55037-55043 rgb_led_ws281x: bit: "0" 55043-55049 rgb_led_ws281x: bit: "0" @@ -6473,6 +7247,9 @@ 55166-55172 rgb_led_ws281x: bit: "0" 55172-55178 rgb_led_ws281x: bit: "0" 55178-55185 rgb_led_ws281x: bit: "0" +55037-55086 rgb_led_ws281x: g: "00" +55086-55135 rgb_led_ws281x: r: "ff" +55135-55185 rgb_led_ws281x: b: "00" 55037-55185 rgb_led_ws281x: rgb: "#ff0000" 55185-55191 rgb_led_ws281x: bit: "0" 55191-55197 rgb_led_ws281x: bit: "0" @@ -6498,6 +7275,9 @@ 55314-55320 rgb_led_ws281x: bit: "0" 55320-55327 rgb_led_ws281x: bit: "0" 55327-55333 rgb_led_ws281x: bit: "0" +55185-55234 rgb_led_ws281x: g: "00" +55234-55284 rgb_led_ws281x: r: "df" +55284-55333 rgb_led_ws281x: b: "00" 55185-55333 rgb_led_ws281x: rgb: "#df0000" 55333-55339 rgb_led_ws281x: bit: "0" 55339-55346 rgb_led_ws281x: bit: "0" @@ -6523,6 +7303,9 @@ 55462-55469 rgb_led_ws281x: bit: "0" 55469-55475 rgb_led_ws281x: bit: "0" 55475-55481 rgb_led_ws281x: bit: "0" +55333-55382 rgb_led_ws281x: g: "00" +55382-55432 rgb_led_ws281x: r: "be" +55432-55481 rgb_led_ws281x: b: "00" 55333-55481 rgb_led_ws281x: rgb: "#be0000" 55481-55487 rgb_led_ws281x: bit: "0" 55487-55494 rgb_led_ws281x: bit: "0" @@ -6548,6 +7331,9 @@ 55611-55617 rgb_led_ws281x: bit: "0" 55617-55623 rgb_led_ws281x: bit: "0" 55623-55629 rgb_led_ws281x: bit: "0" +55481-55531 rgb_led_ws281x: g: "00" +55531-55580 rgb_led_ws281x: r: "7f" +55580-55629 rgb_led_ws281x: b: "00" 55481-55629 rgb_led_ws281x: rgb: "#7f0000" 55629-55636 rgb_led_ws281x: bit: "0" 55636-55642 rgb_led_ws281x: bit: "0" @@ -6573,6 +7359,9 @@ 55759-55765 rgb_led_ws281x: bit: "0" 55765-55771 rgb_led_ws281x: bit: "0" 55771-55778 rgb_led_ws281x: bit: "0" +55629-55679 rgb_led_ws281x: g: "00" +55679-55728 rgb_led_ws281x: r: "ff" +55728-55778 rgb_led_ws281x: b: "00" 55629-55778 rgb_led_ws281x: rgb: "#ff0000" 55778-55784 rgb_led_ws281x: bit: "0" 55784-55790 rgb_led_ws281x: bit: "0" @@ -6598,6 +7387,9 @@ 55907-55913 rgb_led_ws281x: bit: "0" 55913-55919 rgb_led_ws281x: bit: "0" 55919-55926 rgb_led_ws281x: bit: "0" +55778-55827 rgb_led_ws281x: g: "00" +55827-55876 rgb_led_ws281x: r: "fb" +55876-55926 rgb_led_ws281x: b: "00" 55778-55926 rgb_led_ws281x: rgb: "#fb0000" 55926-55932 rgb_led_ws281x: bit: "0" 55932-55938 rgb_led_ws281x: bit: "0" @@ -6623,6 +7415,9 @@ 56055-56061 rgb_led_ws281x: bit: "0" 56061-56067 rgb_led_ws281x: bit: "0" 56067-56074 rgb_led_ws281x: bit: "0" +55926-55975 rgb_led_ws281x: g: "00" +55975-56024 rgb_led_ws281x: r: "f7" +56024-56074 rgb_led_ws281x: b: "00" 55926-56074 rgb_led_ws281x: rgb: "#f70000" 56074-56080 rgb_led_ws281x: bit: "0" 56080-56086 rgb_led_ws281x: bit: "0" @@ -6648,6 +7443,9 @@ 56203-56209 rgb_led_ws281x: bit: "0" 56209-56215 rgb_led_ws281x: bit: "0" 56215-56222 rgb_led_ws281x: bit: "0" +56074-56123 rgb_led_ws281x: g: "00" +56123-56172 rgb_led_ws281x: r: "ef" +56172-56222 rgb_led_ws281x: b: "00" 56074-56222 rgb_led_ws281x: rgb: "#ef0000" 56222-56228 rgb_led_ws281x: bit: "0" 56228-56234 rgb_led_ws281x: bit: "0" @@ -6673,6 +7471,9 @@ 56351-56357 rgb_led_ws281x: bit: "0" 56357-56364 rgb_led_ws281x: bit: "0" 56364-56370 rgb_led_ws281x: bit: "0" +56222-56271 rgb_led_ws281x: g: "00" +56271-56320 rgb_led_ws281x: r: "ff" +56320-56370 rgb_led_ws281x: b: "00" 56222-56370 rgb_led_ws281x: rgb: "#ff0000" 56370-56376 rgb_led_ws281x: bit: "0" 56376-56382 rgb_led_ws281x: bit: "0" @@ -6698,6 +7499,9 @@ 56499-56505 rgb_led_ws281x: bit: "0" 56505-56512 rgb_led_ws281x: bit: "0" 56512-56518 rgb_led_ws281x: bit: "0" +56370-56419 rgb_led_ws281x: g: "00" +56419-56469 rgb_led_ws281x: r: "ff" +56469-56518 rgb_led_ws281x: b: "00" 56370-56518 rgb_led_ws281x: rgb: "#ff0000" 56518-56524 rgb_led_ws281x: bit: "0" 56524-56531 rgb_led_ws281x: bit: "0" @@ -6723,6 +7527,9 @@ 56647-56654 rgb_led_ws281x: bit: "0" 56654-56660 rgb_led_ws281x: bit: "0" 56660-56666 rgb_led_ws281x: bit: "0" +56518-56567 rgb_led_ws281x: g: "00" +56567-56617 rgb_led_ws281x: r: "be" +56617-56666 rgb_led_ws281x: b: "00" 56518-56666 rgb_led_ws281x: rgb: "#be0000" 56666-56673 rgb_led_ws281x: bit: "0" 56673-56679 rgb_led_ws281x: bit: "0" @@ -6748,6 +7555,9 @@ 56796-56802 rgb_led_ws281x: bit: "0" 56802-56808 rgb_led_ws281x: bit: "0" 56808-56814 rgb_led_ws281x: bit: "0" +56666-56716 rgb_led_ws281x: g: "00" +56716-56765 rgb_led_ws281x: r: "7d" +56765-56814 rgb_led_ws281x: b: "00" 56666-56814 rgb_led_ws281x: rgb: "#7d0000" 56814-56821 rgb_led_ws281x: bit: "0" 56821-56827 rgb_led_ws281x: bit: "0" @@ -6773,6 +7583,9 @@ 56944-56950 rgb_led_ws281x: bit: "0" 56950-56956 rgb_led_ws281x: bit: "0" 56956-56963 rgb_led_ws281x: bit: "0" +56814-56864 rgb_led_ws281x: g: "00" +56864-56913 rgb_led_ws281x: r: "ff" +56913-56963 rgb_led_ws281x: b: "00" 56814-56963 rgb_led_ws281x: rgb: "#ff0000" 56963-56969 rgb_led_ws281x: bit: "0" 56969-56975 rgb_led_ws281x: bit: "0" @@ -6798,6 +7611,9 @@ 57092-57098 rgb_led_ws281x: bit: "0" 57098-57104 rgb_led_ws281x: bit: "0" 57104-57111 rgb_led_ws281x: bit: "0" +56963-57012 rgb_led_ws281x: g: "00" +57012-57061 rgb_led_ws281x: r: "ff" +57061-57111 rgb_led_ws281x: b: "00" 56963-57111 rgb_led_ws281x: rgb: "#ff0000" 57111-57117 rgb_led_ws281x: bit: "0" 57117-57123 rgb_led_ws281x: bit: "0" @@ -6823,6 +7639,9 @@ 57240-57246 rgb_led_ws281x: bit: "0" 57246-57252 rgb_led_ws281x: bit: "0" 57252-57259 rgb_led_ws281x: bit: "0" +57111-57160 rgb_led_ws281x: g: "00" +57160-57209 rgb_led_ws281x: r: "f7" +57209-57259 rgb_led_ws281x: b: "00" 57111-57259 rgb_led_ws281x: rgb: "#f70000" 57259-57265 rgb_led_ws281x: bit: "0" 57265-57271 rgb_led_ws281x: bit: "0" @@ -6848,6 +7667,9 @@ 57388-57394 rgb_led_ws281x: bit: "0" 57394-57400 rgb_led_ws281x: bit: "0" 57400-57407 rgb_led_ws281x: bit: "0" +57259-57308 rgb_led_ws281x: g: "00" +57308-57357 rgb_led_ws281x: r: "ef" +57357-57407 rgb_led_ws281x: b: "00" 57259-57407 rgb_led_ws281x: rgb: "#ef0000" 57407-57413 rgb_led_ws281x: bit: "0" 57413-57419 rgb_led_ws281x: bit: "0" @@ -6873,6 +7695,9 @@ 57536-57542 rgb_led_ws281x: bit: "0" 57542-57549 rgb_led_ws281x: bit: "0" 57549-57555 rgb_led_ws281x: bit: "0" +57407-57456 rgb_led_ws281x: g: "00" +57456-57505 rgb_led_ws281x: r: "df" +57505-57555 rgb_led_ws281x: b: "00" 57407-57555 rgb_led_ws281x: rgb: "#df0000" 57555-57561 rgb_led_ws281x: bit: "0" 57561-57567 rgb_led_ws281x: bit: "0" @@ -6898,6 +7723,9 @@ 57684-57690 rgb_led_ws281x: bit: "0" 57690-57697 rgb_led_ws281x: bit: "0" 57697-57703 rgb_led_ws281x: bit: "0" +57555-57604 rgb_led_ws281x: g: "00" +57604-57654 rgb_led_ws281x: r: "ff" +57654-57703 rgb_led_ws281x: b: "00" 57555-57703 rgb_led_ws281x: rgb: "#ff0000" 57703-57709 rgb_led_ws281x: bit: "0" 57709-57716 rgb_led_ws281x: bit: "0" @@ -6923,6 +7751,9 @@ 57832-57839 rgb_led_ws281x: bit: "0" 57839-57845 rgb_led_ws281x: bit: "0" 57845-57851 rgb_led_ws281x: bit: "0" +57703-57752 rgb_led_ws281x: g: "00" +57752-57802 rgb_led_ws281x: r: "fe" +57802-57851 rgb_led_ws281x: b: "00" 57703-57851 rgb_led_ws281x: rgb: "#fe0000" 57851-57858 rgb_led_ws281x: bit: "0" 57858-57864 rgb_led_ws281x: bit: "0" @@ -6948,6 +7779,9 @@ 57981-57987 rgb_led_ws281x: bit: "0" 57987-57993 rgb_led_ws281x: bit: "0" 57993-58000 rgb_led_ws281x: bit: "0" +57851-57901 rgb_led_ws281x: g: "00" +57901-57950 rgb_led_ws281x: r: "7d" +57950-58000 rgb_led_ws281x: b: "00" 57851-58000 rgb_led_ws281x: rgb: "#7d0000" 58000-58006 rgb_led_ws281x: bit: "0" 58006-58012 rgb_led_ws281x: bit: "0" @@ -6973,6 +7807,9 @@ 58129-58135 rgb_led_ws281x: bit: "0" 58135-58141 rgb_led_ws281x: bit: "0" 58141-58148 rgb_led_ws281x: bit: "0" +58000-58049 rgb_led_ws281x: g: "00" +58049-58098 rgb_led_ws281x: r: "ff" +58098-58148 rgb_led_ws281x: b: "00" 58000-58148 rgb_led_ws281x: rgb: "#ff0000" 58148-58154 rgb_led_ws281x: bit: "0" 58154-58160 rgb_led_ws281x: bit: "0" @@ -6998,6 +7835,9 @@ 58277-58283 rgb_led_ws281x: bit: "0" 58283-58289 rgb_led_ws281x: bit: "0" 58289-58296 rgb_led_ws281x: bit: "0" +58148-58197 rgb_led_ws281x: g: "00" +58197-58246 rgb_led_ws281x: r: "ff" +58246-58296 rgb_led_ws281x: b: "00" 58148-58296 rgb_led_ws281x: rgb: "#ff0000" 58296-58302 rgb_led_ws281x: bit: "0" 58302-58308 rgb_led_ws281x: bit: "0" @@ -7023,6 +7863,9 @@ 58425-58431 rgb_led_ws281x: bit: "0" 58431-58437 rgb_led_ws281x: bit: "0" 58437-58444 rgb_led_ws281x: bit: "0" +58296-58345 rgb_led_ws281x: g: "00" +58345-58394 rgb_led_ws281x: r: "f7" +58394-58444 rgb_led_ws281x: b: "00" 58296-58444 rgb_led_ws281x: rgb: "#f70000" 58444-58450 rgb_led_ws281x: bit: "0" 58450-58456 rgb_led_ws281x: bit: "0" @@ -7048,6 +7891,9 @@ 58573-58579 rgb_led_ws281x: bit: "0" 58579-58585 rgb_led_ws281x: bit: "0" 58585-58592 rgb_led_ws281x: bit: "0" +58444-58493 rgb_led_ws281x: g: "00" +58493-58542 rgb_led_ws281x: r: "ef" +58542-58592 rgb_led_ws281x: b: "00" 58444-58592 rgb_led_ws281x: rgb: "#ef0000" 58592-58598 rgb_led_ws281x: bit: "0" 58598-58604 rgb_led_ws281x: bit: "0" @@ -7073,6 +7919,9 @@ 58721-58727 rgb_led_ws281x: bit: "0" 58727-58734 rgb_led_ws281x: bit: "0" 58734-58740 rgb_led_ws281x: bit: "0" +58592-58641 rgb_led_ws281x: g: "00" +58641-58690 rgb_led_ws281x: r: "df" +58690-58740 rgb_led_ws281x: b: "00" 58592-58740 rgb_led_ws281x: rgb: "#df0000" 58740-58746 rgb_led_ws281x: bit: "0" 58746-58752 rgb_led_ws281x: bit: "0" @@ -7098,6 +7947,9 @@ 58869-58876 rgb_led_ws281x: bit: "0" 58876-58882 rgb_led_ws281x: bit: "0" 58882-58888 rgb_led_ws281x: bit: "0" +58740-58789 rgb_led_ws281x: g: "00" +58789-58839 rgb_led_ws281x: r: "bf" +58839-58888 rgb_led_ws281x: b: "00" 58740-58888 rgb_led_ws281x: rgb: "#bf0000" 58888-58894 rgb_led_ws281x: bit: "0" 58894-58901 rgb_led_ws281x: bit: "0" @@ -7123,6 +7975,9 @@ 59017-59024 rgb_led_ws281x: bit: "0" 59024-59030 rgb_led_ws281x: bit: "0" 59030-59036 rgb_led_ws281x: bit: "0" +58888-58937 rgb_led_ws281x: g: "00" +58937-58987 rgb_led_ws281x: r: "fe" +58987-59036 rgb_led_ws281x: b: "00" 58888-59036 rgb_led_ws281x: rgb: "#fe0000" 59036-59043 rgb_led_ws281x: bit: "0" 59043-59049 rgb_led_ws281x: bit: "0" @@ -7148,6 +8003,9 @@ 59166-59172 rgb_led_ws281x: bit: "0" 59172-59178 rgb_led_ws281x: bit: "0" 59178-59185 rgb_led_ws281x: bit: "0" +59036-59086 rgb_led_ws281x: g: "00" +59086-59135 rgb_led_ws281x: r: "fd" +59135-59185 rgb_led_ws281x: b: "00" 59036-59185 rgb_led_ws281x: rgb: "#fd0000" 59185-59191 rgb_led_ws281x: bit: "0" 59191-59197 rgb_led_ws281x: bit: "0" @@ -7173,6 +8031,9 @@ 59314-59320 rgb_led_ws281x: bit: "0" 59320-59326 rgb_led_ws281x: bit: "0" 59326-59333 rgb_led_ws281x: bit: "0" +59185-59234 rgb_led_ws281x: g: "00" +59234-59283 rgb_led_ws281x: r: "ff" +59283-59333 rgb_led_ws281x: b: "00" 59185-59333 rgb_led_ws281x: rgb: "#ff0000" 59333-59339 rgb_led_ws281x: bit: "0" 59339-59345 rgb_led_ws281x: bit: "0" @@ -7198,6 +8059,9 @@ 59462-59468 rgb_led_ws281x: bit: "0" 59468-59474 rgb_led_ws281x: bit: "0" 59474-59481 rgb_led_ws281x: bit: "0" +59333-59382 rgb_led_ws281x: g: "00" +59382-59431 rgb_led_ws281x: r: "ff" +59431-59481 rgb_led_ws281x: b: "00" 59333-59481 rgb_led_ws281x: rgb: "#ff0000" 59481-59487 rgb_led_ws281x: bit: "0" 59487-59493 rgb_led_ws281x: bit: "0" @@ -7223,6 +8087,9 @@ 59610-59616 rgb_led_ws281x: bit: "0" 59616-59622 rgb_led_ws281x: bit: "0" 59622-59629 rgb_led_ws281x: bit: "0" +59481-59530 rgb_led_ws281x: g: "00" +59530-59579 rgb_led_ws281x: r: "f7" +59579-59629 rgb_led_ws281x: b: "00" 59481-59629 rgb_led_ws281x: rgb: "#f70000" 59629-59635 rgb_led_ws281x: bit: "0" 59635-59641 rgb_led_ws281x: bit: "0" @@ -7248,6 +8115,9 @@ 59758-59764 rgb_led_ws281x: bit: "0" 59764-59770 rgb_led_ws281x: bit: "0" 59770-59777 rgb_led_ws281x: bit: "0" +59629-59678 rgb_led_ws281x: g: "00" +59678-59727 rgb_led_ws281x: r: "ef" +59727-59777 rgb_led_ws281x: b: "00" 59629-59777 rgb_led_ws281x: rgb: "#ef0000" 59777-59783 rgb_led_ws281x: bit: "0" 59783-59789 rgb_led_ws281x: bit: "0" @@ -7273,6 +8143,9 @@ 59906-59912 rgb_led_ws281x: bit: "0" 59912-59919 rgb_led_ws281x: bit: "0" 59919-59925 rgb_led_ws281x: bit: "0" +59777-59826 rgb_led_ws281x: g: "00" +59826-59875 rgb_led_ws281x: r: "df" +59875-59925 rgb_led_ws281x: b: "00" 59777-59925 rgb_led_ws281x: rgb: "#df0000" 59925-59931 rgb_led_ws281x: bit: "0" 59931-59937 rgb_led_ws281x: bit: "0" @@ -7298,6 +8171,9 @@ 60054-60061 rgb_led_ws281x: bit: "0" 60061-60067 rgb_led_ws281x: bit: "0" 60067-60073 rgb_led_ws281x: bit: "0" +59925-59974 rgb_led_ws281x: g: "00" +59974-60024 rgb_led_ws281x: r: "bf" +60024-60073 rgb_led_ws281x: b: "00" 59925-60073 rgb_led_ws281x: rgb: "#bf0000" 60073-60079 rgb_led_ws281x: bit: "0" 60079-60086 rgb_led_ws281x: bit: "0" @@ -7323,6 +8199,9 @@ 60202-60209 rgb_led_ws281x: bit: "0" 60209-60215 rgb_led_ws281x: bit: "0" 60215-60221 rgb_led_ws281x: bit: "0" +60073-60123 rgb_led_ws281x: g: "00" +60123-60172 rgb_led_ws281x: r: "7e" +60172-60221 rgb_led_ws281x: b: "00" 60073-60221 rgb_led_ws281x: rgb: "#7e0000" 60221-60228 rgb_led_ws281x: bit: "0" 60228-60234 rgb_led_ws281x: bit: "0" @@ -7348,6 +8227,9 @@ 60351-60357 rgb_led_ws281x: bit: "0" 60357-60363 rgb_led_ws281x: bit: "0" 60363-60370 rgb_led_ws281x: bit: "0" +60221-60271 rgb_led_ws281x: g: "00" +60271-60320 rgb_led_ws281x: r: "fd" +60320-60370 rgb_led_ws281x: b: "00" 60221-60370 rgb_led_ws281x: rgb: "#fd0000" 60370-60376 rgb_led_ws281x: bit: "0" 60376-60382 rgb_led_ws281x: bit: "0" @@ -7373,6 +8255,9 @@ 60499-60505 rgb_led_ws281x: bit: "0" 60505-60511 rgb_led_ws281x: bit: "0" 60511-60518 rgb_led_ws281x: bit: "0" +60370-60419 rgb_led_ws281x: g: "00" +60419-60468 rgb_led_ws281x: r: "fb" +60468-60518 rgb_led_ws281x: b: "00" 60370-60518 rgb_led_ws281x: rgb: "#fb0000" 60518-60524 rgb_led_ws281x: bit: "0" 60524-60530 rgb_led_ws281x: bit: "0" @@ -7398,6 +8283,9 @@ 60647-60653 rgb_led_ws281x: bit: "0" 60653-60659 rgb_led_ws281x: bit: "0" 60659-60666 rgb_led_ws281x: bit: "0" +60518-60567 rgb_led_ws281x: g: "00" +60567-60616 rgb_led_ws281x: r: "ff" +60616-60666 rgb_led_ws281x: b: "00" 60518-60666 rgb_led_ws281x: rgb: "#ff0000" 60666-60672 rgb_led_ws281x: bit: "0" 60672-60678 rgb_led_ws281x: bit: "0" @@ -7423,6 +8311,9 @@ 60795-60801 rgb_led_ws281x: bit: "0" 60801-60807 rgb_led_ws281x: bit: "0" 60807-60814 rgb_led_ws281x: bit: "0" +60666-60715 rgb_led_ws281x: g: "00" +60715-60764 rgb_led_ws281x: r: "f7" +60764-60814 rgb_led_ws281x: b: "00" 60666-60814 rgb_led_ws281x: rgb: "#f70000" 60814-60820 rgb_led_ws281x: bit: "0" 60820-60826 rgb_led_ws281x: bit: "0" @@ -7448,6 +8339,9 @@ 60943-60949 rgb_led_ws281x: bit: "0" 60949-60955 rgb_led_ws281x: bit: "0" 60955-60962 rgb_led_ws281x: bit: "0" +60814-60863 rgb_led_ws281x: g: "00" +60863-60912 rgb_led_ws281x: r: "ef" +60912-60962 rgb_led_ws281x: b: "00" 60814-60962 rgb_led_ws281x: rgb: "#ef0000" 60962-60968 rgb_led_ws281x: bit: "0" 60968-60974 rgb_led_ws281x: bit: "0" @@ -7473,6 +8367,9 @@ 61091-61097 rgb_led_ws281x: bit: "0" 61097-61104 rgb_led_ws281x: bit: "0" 61104-61110 rgb_led_ws281x: bit: "0" +60962-61011 rgb_led_ws281x: g: "00" +61011-61060 rgb_led_ws281x: r: "df" +61060-61110 rgb_led_ws281x: b: "00" 60962-61110 rgb_led_ws281x: rgb: "#df0000" 61110-61116 rgb_led_ws281x: bit: "0" 61116-61122 rgb_led_ws281x: bit: "0" @@ -7498,6 +8395,9 @@ 61239-61246 rgb_led_ws281x: bit: "0" 61246-61252 rgb_led_ws281x: bit: "0" 61252-61258 rgb_led_ws281x: bit: "0" +61110-61159 rgb_led_ws281x: g: "00" +61159-61209 rgb_led_ws281x: r: "bf" +61209-61258 rgb_led_ws281x: b: "00" 61110-61258 rgb_led_ws281x: rgb: "#bf0000" 61258-61264 rgb_led_ws281x: bit: "0" 61264-61271 rgb_led_ws281x: bit: "0" @@ -7523,6 +8423,9 @@ 61388-61394 rgb_led_ws281x: bit: "0" 61394-61400 rgb_led_ws281x: bit: "0" 61400-61406 rgb_led_ws281x: bit: "0" +61258-61308 rgb_led_ws281x: g: "00" +61308-61357 rgb_led_ws281x: r: "7e" +61357-61406 rgb_led_ws281x: b: "00" 61258-61406 rgb_led_ws281x: rgb: "#7e0000" 61406-61413 rgb_led_ws281x: bit: "0" 61413-61419 rgb_led_ws281x: bit: "0" @@ -7548,6 +8451,9 @@ 61536-61542 rgb_led_ws281x: bit: "0" 61542-61548 rgb_led_ws281x: bit: "0" 61548-61555 rgb_led_ws281x: bit: "0" +61406-61456 rgb_led_ws281x: g: "00" +61456-61505 rgb_led_ws281x: r: "fd" +61505-61555 rgb_led_ws281x: b: "00" 61406-61555 rgb_led_ws281x: rgb: "#fd0000" 61555-61561 rgb_led_ws281x: bit: "0" 61561-61567 rgb_led_ws281x: bit: "0" @@ -7573,6 +8479,9 @@ 61684-61690 rgb_led_ws281x: bit: "0" 61690-61696 rgb_led_ws281x: bit: "0" 61696-61703 rgb_led_ws281x: bit: "0" +61555-61604 rgb_led_ws281x: g: "00" +61604-61653 rgb_led_ws281x: r: "fb" +61653-61703 rgb_led_ws281x: b: "00" 61555-61703 rgb_led_ws281x: rgb: "#fb0000" 61703-61709 rgb_led_ws281x: bit: "0" 61709-61715 rgb_led_ws281x: bit: "0" @@ -7598,6 +8507,9 @@ 61832-61838 rgb_led_ws281x: bit: "0" 61838-61844 rgb_led_ws281x: bit: "0" 61844-61851 rgb_led_ws281x: bit: "0" +61703-61752 rgb_led_ws281x: g: "00" +61752-61801 rgb_led_ws281x: r: "ff" +61801-61851 rgb_led_ws281x: b: "00" 61703-61851 rgb_led_ws281x: rgb: "#ff0000" 61851-61857 rgb_led_ws281x: bit: "0" 61857-61863 rgb_led_ws281x: bit: "0" @@ -7623,6 +8535,9 @@ 61980-61986 rgb_led_ws281x: bit: "0" 61986-61992 rgb_led_ws281x: bit: "0" 61992-61999 rgb_led_ws281x: bit: "0" +61851-61900 rgb_led_ws281x: g: "00" +61900-61949 rgb_led_ws281x: r: "ff" +61949-61999 rgb_led_ws281x: b: "00" 61851-61999 rgb_led_ws281x: rgb: "#ff0000" 61999-62005 rgb_led_ws281x: bit: "0" 62005-62011 rgb_led_ws281x: bit: "0" @@ -7648,6 +8563,9 @@ 62128-62134 rgb_led_ws281x: bit: "0" 62134-62140 rgb_led_ws281x: bit: "0" 62140-62147 rgb_led_ws281x: bit: "0" +61999-62048 rgb_led_ws281x: g: "00" +62048-62097 rgb_led_ws281x: r: "ef" +62097-62147 rgb_led_ws281x: b: "00" 61999-62147 rgb_led_ws281x: rgb: "#ef0000" 62147-62153 rgb_led_ws281x: bit: "0" 62153-62159 rgb_led_ws281x: bit: "0" @@ -7673,6 +8591,9 @@ 62276-62282 rgb_led_ws281x: bit: "0" 62282-62289 rgb_led_ws281x: bit: "0" 62289-62295 rgb_led_ws281x: bit: "0" +62147-62196 rgb_led_ws281x: g: "00" +62196-62246 rgb_led_ws281x: r: "df" +62246-62295 rgb_led_ws281x: b: "00" 62147-62295 rgb_led_ws281x: rgb: "#df0000" 62295-62301 rgb_led_ws281x: bit: "0" 62301-62307 rgb_led_ws281x: bit: "0" @@ -7698,6 +8619,9 @@ 62424-62431 rgb_led_ws281x: bit: "0" 62431-62437 rgb_led_ws281x: bit: "0" 62437-62443 rgb_led_ws281x: bit: "0" +62295-62344 rgb_led_ws281x: g: "00" +62344-62394 rgb_led_ws281x: r: "bf" +62394-62443 rgb_led_ws281x: b: "00" 62295-62443 rgb_led_ws281x: rgb: "#bf0000" 62443-62449 rgb_led_ws281x: bit: "0" 62449-62456 rgb_led_ws281x: bit: "0" @@ -7723,6 +8647,9 @@ 62573-62579 rgb_led_ws281x: bit: "0" 62579-62585 rgb_led_ws281x: bit: "0" 62585-62591 rgb_led_ws281x: bit: "0" +62443-62493 rgb_led_ws281x: g: "00" +62493-62542 rgb_led_ws281x: r: "7e" +62542-62591 rgb_led_ws281x: b: "00" 62443-62591 rgb_led_ws281x: rgb: "#7e0000" 62591-62598 rgb_led_ws281x: bit: "0" 62598-62604 rgb_led_ws281x: bit: "0" @@ -7748,6 +8675,9 @@ 62721-62727 rgb_led_ws281x: bit: "0" 62727-62733 rgb_led_ws281x: bit: "0" 62733-62740 rgb_led_ws281x: bit: "0" +62591-62641 rgb_led_ws281x: g: "00" +62641-62690 rgb_led_ws281x: r: "fd" +62690-62740 rgb_led_ws281x: b: "00" 62591-62740 rgb_led_ws281x: rgb: "#fd0000" 62740-62746 rgb_led_ws281x: bit: "0" 62746-62752 rgb_led_ws281x: bit: "0" @@ -7773,6 +8703,9 @@ 62869-62875 rgb_led_ws281x: bit: "0" 62875-62881 rgb_led_ws281x: bit: "0" 62881-62888 rgb_led_ws281x: bit: "0" +62740-62789 rgb_led_ws281x: g: "00" +62789-62838 rgb_led_ws281x: r: "fb" +62838-62888 rgb_led_ws281x: b: "00" 62740-62888 rgb_led_ws281x: rgb: "#fb0000" 62888-62894 rgb_led_ws281x: bit: "0" 62894-62900 rgb_led_ws281x: bit: "0" @@ -7798,6 +8731,9 @@ 63017-63023 rgb_led_ws281x: bit: "0" 63023-63029 rgb_led_ws281x: bit: "0" 63029-63036 rgb_led_ws281x: bit: "0" +62888-62937 rgb_led_ws281x: g: "00" +62937-62986 rgb_led_ws281x: r: "f7" +62986-63036 rgb_led_ws281x: b: "00" 62888-63036 rgb_led_ws281x: rgb: "#f70000" 63036-63042 rgb_led_ws281x: bit: "0" 63042-63048 rgb_led_ws281x: bit: "0" @@ -7823,6 +8759,9 @@ 63165-63171 rgb_led_ws281x: bit: "0" 63171-63177 rgb_led_ws281x: bit: "0" 63177-63184 rgb_led_ws281x: bit: "0" +63036-63085 rgb_led_ws281x: g: "00" +63085-63134 rgb_led_ws281x: r: "ff" +63134-63184 rgb_led_ws281x: b: "00" 63036-63184 rgb_led_ws281x: rgb: "#ff0000" 63184-63190 rgb_led_ws281x: bit: "0" 63190-63196 rgb_led_ws281x: bit: "0" @@ -7848,6 +8787,9 @@ 63313-63319 rgb_led_ws281x: bit: "0" 63319-63325 rgb_led_ws281x: bit: "0" 63325-63332 rgb_led_ws281x: bit: "0" +63184-63233 rgb_led_ws281x: g: "00" +63233-63282 rgb_led_ws281x: r: "ff" +63282-63332 rgb_led_ws281x: b: "00" 63184-63332 rgb_led_ws281x: rgb: "#ff0000" 63332-63338 rgb_led_ws281x: bit: "0" 63338-63344 rgb_led_ws281x: bit: "0" @@ -7873,6 +8815,9 @@ 63461-63467 rgb_led_ws281x: bit: "0" 63467-63474 rgb_led_ws281x: bit: "0" 63474-63480 rgb_led_ws281x: bit: "0" +63332-63381 rgb_led_ws281x: g: "00" +63381-63431 rgb_led_ws281x: r: "df" +63431-63480 rgb_led_ws281x: b: "00" 63332-63480 rgb_led_ws281x: rgb: "#df0000" 63480-63486 rgb_led_ws281x: bit: "0" 63486-63492 rgb_led_ws281x: bit: "0" @@ -7898,6 +8843,9 @@ 63609-63616 rgb_led_ws281x: bit: "0" 63616-63622 rgb_led_ws281x: bit: "0" 63622-63628 rgb_led_ws281x: bit: "0" +63480-63529 rgb_led_ws281x: g: "00" +63529-63579 rgb_led_ws281x: r: "be" +63579-63628 rgb_led_ws281x: b: "00" 63480-63628 rgb_led_ws281x: rgb: "#be0000" 63628-63634 rgb_led_ws281x: bit: "0" 63634-63641 rgb_led_ws281x: bit: "0" @@ -7923,6 +8871,9 @@ 63758-63764 rgb_led_ws281x: bit: "0" 63764-63770 rgb_led_ws281x: bit: "0" 63770-63776 rgb_led_ws281x: bit: "0" +63628-63678 rgb_led_ws281x: g: "00" +63678-63727 rgb_led_ws281x: r: "7f" +63727-63776 rgb_led_ws281x: b: "00" 63628-63776 rgb_led_ws281x: rgb: "#7f0000" 63776-63783 rgb_led_ws281x: bit: "0" 63783-63789 rgb_led_ws281x: bit: "0" @@ -7948,6 +8899,9 @@ 63906-63912 rgb_led_ws281x: bit: "0" 63912-63918 rgb_led_ws281x: bit: "0" 63918-63925 rgb_led_ws281x: bit: "0" +63776-63826 rgb_led_ws281x: g: "00" +63826-63875 rgb_led_ws281x: r: "fd" +63875-63925 rgb_led_ws281x: b: "00" 63776-63925 rgb_led_ws281x: rgb: "#fd0000" 63925-63931 rgb_led_ws281x: bit: "0" 63931-63937 rgb_led_ws281x: bit: "0" @@ -7973,6 +8927,9 @@ 64054-64060 rgb_led_ws281x: bit: "0" 64060-64066 rgb_led_ws281x: bit: "0" 64066-64073 rgb_led_ws281x: bit: "0" +63925-63974 rgb_led_ws281x: g: "00" +63974-64023 rgb_led_ws281x: r: "fb" +64023-64073 rgb_led_ws281x: b: "00" 63925-64073 rgb_led_ws281x: rgb: "#fb0000" 64073-64079 rgb_led_ws281x: bit: "0" 64079-64085 rgb_led_ws281x: bit: "0" @@ -7998,6 +8955,9 @@ 64202-64208 rgb_led_ws281x: bit: "0" 64208-64214 rgb_led_ws281x: bit: "0" 64214-64221 rgb_led_ws281x: bit: "0" +64073-64122 rgb_led_ws281x: g: "00" +64122-64171 rgb_led_ws281x: r: "f7" +64171-64221 rgb_led_ws281x: b: "00" 64073-64221 rgb_led_ws281x: rgb: "#f70000" 64221-64227 rgb_led_ws281x: bit: "0" 64227-64233 rgb_led_ws281x: bit: "0" @@ -8023,6 +8983,9 @@ 64350-64356 rgb_led_ws281x: bit: "0" 64356-64362 rgb_led_ws281x: bit: "0" 64362-64369 rgb_led_ws281x: bit: "0" +64221-64270 rgb_led_ws281x: g: "00" +64270-64319 rgb_led_ws281x: r: "ff" +64319-64369 rgb_led_ws281x: b: "00" 64221-64369 rgb_led_ws281x: rgb: "#ff0000" 64369-64375 rgb_led_ws281x: bit: "0" 64375-64381 rgb_led_ws281x: bit: "0" @@ -8048,6 +9011,9 @@ 64498-64504 rgb_led_ws281x: bit: "0" 64504-64511 rgb_led_ws281x: bit: "0" 64511-64517 rgb_led_ws281x: bit: "0" +64369-64418 rgb_led_ws281x: g: "00" +64418-64467 rgb_led_ws281x: r: "df" +64467-64517 rgb_led_ws281x: b: "00" 64369-64517 rgb_led_ws281x: rgb: "#df0000" 64517-64523 rgb_led_ws281x: bit: "0" 64523-64529 rgb_led_ws281x: bit: "0" @@ -8073,6 +9039,9 @@ 64646-64652 rgb_led_ws281x: bit: "0" 64652-64659 rgb_led_ws281x: bit: "0" 64659-64665 rgb_led_ws281x: bit: "0" +64517-64566 rgb_led_ws281x: g: "00" +64566-64616 rgb_led_ws281x: r: "df" +64616-64665 rgb_led_ws281x: b: "00" 64517-64665 rgb_led_ws281x: rgb: "#df0000" 64665-64671 rgb_led_ws281x: bit: "0" 64671-64678 rgb_led_ws281x: bit: "0" @@ -8098,6 +9067,9 @@ 64794-64801 rgb_led_ws281x: bit: "0" 64801-64807 rgb_led_ws281x: bit: "0" 64807-64813 rgb_led_ws281x: bit: "0" +64665-64714 rgb_led_ws281x: g: "00" +64714-64764 rgb_led_ws281x: r: "be" +64764-64813 rgb_led_ws281x: b: "00" 64665-64813 rgb_led_ws281x: rgb: "#be0000" 64813-64819 rgb_led_ws281x: bit: "0" 64819-64826 rgb_led_ws281x: bit: "0" @@ -8123,6 +9095,9 @@ 64943-64949 rgb_led_ws281x: bit: "0" 64949-64955 rgb_led_ws281x: bit: "0" 64955-64961 rgb_led_ws281x: bit: "0" +64813-64863 rgb_led_ws281x: g: "00" +64863-64912 rgb_led_ws281x: r: "7f" +64912-64961 rgb_led_ws281x: b: "00" 64813-64961 rgb_led_ws281x: rgb: "#7f0000" 64961-64968 rgb_led_ws281x: bit: "0" 64968-64974 rgb_led_ws281x: bit: "0" @@ -8148,6 +9123,9 @@ 65091-65097 rgb_led_ws281x: bit: "0" 65097-65103 rgb_led_ws281x: bit: "0" 65103-65110 rgb_led_ws281x: bit: "0" +64961-65011 rgb_led_ws281x: g: "00" +65011-65060 rgb_led_ws281x: r: "ff" +65060-65110 rgb_led_ws281x: b: "00" 64961-65110 rgb_led_ws281x: rgb: "#ff0000" 65110-65116 rgb_led_ws281x: bit: "0" 65116-65122 rgb_led_ws281x: bit: "0" @@ -8173,6 +9151,9 @@ 65239-65245 rgb_led_ws281x: bit: "0" 65245-65251 rgb_led_ws281x: bit: "0" 65251-65258 rgb_led_ws281x: bit: "0" +65110-65159 rgb_led_ws281x: g: "00" +65159-65208 rgb_led_ws281x: r: "fb" +65208-65258 rgb_led_ws281x: b: "00" 65110-65258 rgb_led_ws281x: rgb: "#fb0000" 65258-65264 rgb_led_ws281x: bit: "0" 65264-65270 rgb_led_ws281x: bit: "0" @@ -8198,6 +9179,9 @@ 65387-65393 rgb_led_ws281x: bit: "0" 65393-65399 rgb_led_ws281x: bit: "0" 65399-65406 rgb_led_ws281x: bit: "0" +65258-65307 rgb_led_ws281x: g: "00" +65307-65356 rgb_led_ws281x: r: "f7" +65356-65406 rgb_led_ws281x: b: "00" 65258-65406 rgb_led_ws281x: rgb: "#f70000" 65406-65412 rgb_led_ws281x: bit: "0" 65412-65418 rgb_led_ws281x: bit: "0" @@ -8223,6 +9207,9 @@ 65535-65541 rgb_led_ws281x: bit: "0" 65541-65547 rgb_led_ws281x: bit: "0" 65547-65554 rgb_led_ws281x: bit: "0" +65406-65455 rgb_led_ws281x: g: "00" +65455-65504 rgb_led_ws281x: r: "ef" +65504-65554 rgb_led_ws281x: b: "00" 65406-65554 rgb_led_ws281x: rgb: "#ef0000" 65554-65560 rgb_led_ws281x: bit: "0" 65560-65566 rgb_led_ws281x: bit: "0" @@ -8248,6 +9235,9 @@ 65683-65689 rgb_led_ws281x: bit: "0" 65689-65695 rgb_led_ws281x: bit: "0" 65695-65702 rgb_led_ws281x: bit: "0" +65554-65603 rgb_led_ws281x: g: "00" +65603-65652 rgb_led_ws281x: r: "df" +65652-65702 rgb_led_ws281x: b: "00" 65554-65702 rgb_led_ws281x: rgb: "#df0000" 65702-65708 rgb_led_ws281x: bit: "0" 65708-65714 rgb_led_ws281x: bit: "0" @@ -8273,6 +9263,9 @@ 65831-65837 rgb_led_ws281x: bit: "0" 65837-65844 rgb_led_ws281x: bit: "0" 65844-65850 rgb_led_ws281x: bit: "0" +65702-65751 rgb_led_ws281x: g: "00" +65751-65801 rgb_led_ws281x: r: "ff" +65801-65850 rgb_led_ws281x: b: "00" 65702-65850 rgb_led_ws281x: rgb: "#ff0000" 65850-65856 rgb_led_ws281x: bit: "0" 65856-65863 rgb_led_ws281x: bit: "0" @@ -8298,6 +9291,9 @@ 65979-65986 rgb_led_ws281x: bit: "0" 65986-65992 rgb_led_ws281x: bit: "0" 65992-65998 rgb_led_ws281x: bit: "0" +65850-65899 rgb_led_ws281x: g: "00" +65899-65949 rgb_led_ws281x: r: "be" +65949-65998 rgb_led_ws281x: b: "00" 65850-65998 rgb_led_ws281x: rgb: "#be0000" 65998-66005 rgb_led_ws281x: bit: "0" 66005-66011 rgb_led_ws281x: bit: "0" @@ -8323,6 +9319,9 @@ 66128-66134 rgb_led_ws281x: bit: "0" 66134-66140 rgb_led_ws281x: bit: "0" 66140-66146 rgb_led_ws281x: bit: "0" +65998-66048 rgb_led_ws281x: g: "00" +66048-66097 rgb_led_ws281x: r: "7d" +66097-66146 rgb_led_ws281x: b: "00" 65998-66146 rgb_led_ws281x: rgb: "#7d0000" 66146-66153 rgb_led_ws281x: bit: "0" 66153-66159 rgb_led_ws281x: bit: "0" @@ -8348,6 +9347,9 @@ 66276-66282 rgb_led_ws281x: bit: "0" 66282-66288 rgb_led_ws281x: bit: "0" 66288-66295 rgb_led_ws281x: bit: "0" +66146-66196 rgb_led_ws281x: g: "00" +66196-66245 rgb_led_ws281x: r: "ff" +66245-66295 rgb_led_ws281x: b: "00" 66146-66295 rgb_led_ws281x: rgb: "#ff0000" 66295-66301 rgb_led_ws281x: bit: "0" 66301-66307 rgb_led_ws281x: bit: "0" @@ -8373,6 +9375,9 @@ 66424-66430 rgb_led_ws281x: bit: "0" 66430-66436 rgb_led_ws281x: bit: "0" 66436-66443 rgb_led_ws281x: bit: "0" +66295-66344 rgb_led_ws281x: g: "00" +66344-66393 rgb_led_ws281x: r: "fb" +66393-66443 rgb_led_ws281x: b: "00" 66295-66443 rgb_led_ws281x: rgb: "#fb0000" 66443-66449 rgb_led_ws281x: bit: "0" 66449-66455 rgb_led_ws281x: bit: "0" @@ -8398,6 +9403,9 @@ 66572-66578 rgb_led_ws281x: bit: "0" 66578-66584 rgb_led_ws281x: bit: "0" 66584-66591 rgb_led_ws281x: bit: "0" +66443-66492 rgb_led_ws281x: g: "00" +66492-66541 rgb_led_ws281x: r: "f7" +66541-66591 rgb_led_ws281x: b: "00" 66443-66591 rgb_led_ws281x: rgb: "#f70000" 66591-66597 rgb_led_ws281x: bit: "0" 66597-66603 rgb_led_ws281x: bit: "0" @@ -8423,6 +9431,9 @@ 66720-66726 rgb_led_ws281x: bit: "0" 66726-66732 rgb_led_ws281x: bit: "0" 66732-66739 rgb_led_ws281x: bit: "0" +66591-66640 rgb_led_ws281x: g: "00" +66640-66689 rgb_led_ws281x: r: "ef" +66689-66739 rgb_led_ws281x: b: "00" 66591-66739 rgb_led_ws281x: rgb: "#ef0000" 66739-66745 rgb_led_ws281x: bit: "0" 66745-66751 rgb_led_ws281x: bit: "0" @@ -8448,6 +9459,9 @@ 66868-66874 rgb_led_ws281x: bit: "0" 66874-66881 rgb_led_ws281x: bit: "0" 66881-66887 rgb_led_ws281x: bit: "0" +66739-66788 rgb_led_ws281x: g: "00" +66788-66837 rgb_led_ws281x: r: "df" +66837-66887 rgb_led_ws281x: b: "00" 66739-66887 rgb_led_ws281x: rgb: "#df0000" 66887-66893 rgb_led_ws281x: bit: "0" 66893-66899 rgb_led_ws281x: bit: "0" @@ -8473,6 +9487,9 @@ 67016-67022 rgb_led_ws281x: bit: "0" 67022-67029 rgb_led_ws281x: bit: "0" 67029-67035 rgb_led_ws281x: bit: "0" +66887-66936 rgb_led_ws281x: g: "00" +66936-66986 rgb_led_ws281x: r: "ff" +66986-67035 rgb_led_ws281x: b: "00" 66887-67035 rgb_led_ws281x: rgb: "#ff0000" 67035-67041 rgb_led_ws281x: bit: "0" 67041-67048 rgb_led_ws281x: bit: "0" @@ -8498,6 +9515,9 @@ 67164-67171 rgb_led_ws281x: bit: "0" 67171-67177 rgb_led_ws281x: bit: "0" 67177-67183 rgb_led_ws281x: bit: "0" +67035-67084 rgb_led_ws281x: g: "00" +67084-67134 rgb_led_ws281x: r: "fe" +67134-67183 rgb_led_ws281x: b: "00" 67035-67183 rgb_led_ws281x: rgb: "#fe0000" 67183-67190 rgb_led_ws281x: bit: "0" 67190-67196 rgb_led_ws281x: bit: "0" @@ -8523,6 +9543,9 @@ 67313-67319 rgb_led_ws281x: bit: "0" 67319-67325 rgb_led_ws281x: bit: "0" 67325-67331 rgb_led_ws281x: bit: "0" +67183-67233 rgb_led_ws281x: g: "00" +67233-67282 rgb_led_ws281x: r: "7d" +67282-67331 rgb_led_ws281x: b: "00" 67183-67331 rgb_led_ws281x: rgb: "#7d0000" 67331-67338 rgb_led_ws281x: bit: "0" 67338-67344 rgb_led_ws281x: bit: "0" @@ -8548,6 +9571,9 @@ 67461-67467 rgb_led_ws281x: bit: "0" 67467-67473 rgb_led_ws281x: bit: "0" 67473-67480 rgb_led_ws281x: bit: "0" +67331-67381 rgb_led_ws281x: g: "00" +67381-67430 rgb_led_ws281x: r: "ff" +67430-67480 rgb_led_ws281x: b: "00" 67331-67480 rgb_led_ws281x: rgb: "#ff0000" 67480-67486 rgb_led_ws281x: bit: "0" 67486-67492 rgb_led_ws281x: bit: "0" @@ -8573,6 +9599,9 @@ 67609-67615 rgb_led_ws281x: bit: "0" 67615-67621 rgb_led_ws281x: bit: "0" 67621-67628 rgb_led_ws281x: bit: "0" +67480-67529 rgb_led_ws281x: g: "00" +67529-67578 rgb_led_ws281x: r: "ff" +67578-67628 rgb_led_ws281x: b: "00" 67480-67628 rgb_led_ws281x: rgb: "#ff0000" 67628-67634 rgb_led_ws281x: bit: "0" 67634-67640 rgb_led_ws281x: bit: "0" @@ -8598,6 +9627,9 @@ 67757-67763 rgb_led_ws281x: bit: "0" 67763-67769 rgb_led_ws281x: bit: "0" 67769-67776 rgb_led_ws281x: bit: "0" +67628-67677 rgb_led_ws281x: g: "00" +67677-67726 rgb_led_ws281x: r: "f7" +67726-67776 rgb_led_ws281x: b: "00" 67628-67776 rgb_led_ws281x: rgb: "#f70000" 67776-67782 rgb_led_ws281x: bit: "0" 67782-67788 rgb_led_ws281x: bit: "0" @@ -8623,6 +9655,9 @@ 67905-67911 rgb_led_ws281x: bit: "0" 67911-67917 rgb_led_ws281x: bit: "0" 67917-67924 rgb_led_ws281x: bit: "0" +67776-67825 rgb_led_ws281x: g: "00" +67825-67874 rgb_led_ws281x: r: "ef" +67874-67924 rgb_led_ws281x: b: "00" 67776-67924 rgb_led_ws281x: rgb: "#ef0000" 67924-67930 rgb_led_ws281x: bit: "0" 67930-67936 rgb_led_ws281x: bit: "0" @@ -8648,6 +9683,9 @@ 68053-68059 rgb_led_ws281x: bit: "0" 68059-68066 rgb_led_ws281x: bit: "0" 68066-68072 rgb_led_ws281x: bit: "0" +67924-67973 rgb_led_ws281x: g: "00" +67973-68022 rgb_led_ws281x: r: "df" +68022-68072 rgb_led_ws281x: b: "00" 67924-68072 rgb_led_ws281x: rgb: "#df0000" 68072-68078 rgb_led_ws281x: bit: "0" 68078-68084 rgb_led_ws281x: bit: "0" @@ -8673,6 +9711,9 @@ 68201-68208 rgb_led_ws281x: bit: "0" 68208-68214 rgb_led_ws281x: bit: "0" 68214-68220 rgb_led_ws281x: bit: "0" +68072-68121 rgb_led_ws281x: g: "00" +68121-68171 rgb_led_ws281x: r: "bf" +68171-68220 rgb_led_ws281x: b: "00" 68072-68220 rgb_led_ws281x: rgb: "#bf0000" 68220-68226 rgb_led_ws281x: bit: "0" 68226-68233 rgb_led_ws281x: bit: "0" @@ -8698,6 +9739,9 @@ 68349-68356 rgb_led_ws281x: bit: "0" 68356-68362 rgb_led_ws281x: bit: "0" 68362-68368 rgb_led_ws281x: bit: "0" +68220-68269 rgb_led_ws281x: g: "00" +68269-68319 rgb_led_ws281x: r: "fe" +68319-68368 rgb_led_ws281x: b: "00" 68220-68368 rgb_led_ws281x: rgb: "#fe0000" 68368-68375 rgb_led_ws281x: bit: "0" 68375-68381 rgb_led_ws281x: bit: "0" @@ -8723,6 +9767,9 @@ 68498-68504 rgb_led_ws281x: bit: "0" 68504-68510 rgb_led_ws281x: bit: "0" 68510-68517 rgb_led_ws281x: bit: "0" +68368-68418 rgb_led_ws281x: g: "00" +68418-68467 rgb_led_ws281x: r: "7d" +68467-68517 rgb_led_ws281x: b: "00" 68368-68517 rgb_led_ws281x: rgb: "#7d0000" 68517-68523 rgb_led_ws281x: bit: "0" 68523-68529 rgb_led_ws281x: bit: "0" @@ -8748,6 +9795,9 @@ 68646-68652 rgb_led_ws281x: bit: "0" 68652-68658 rgb_led_ws281x: bit: "0" 68658-68665 rgb_led_ws281x: bit: "0" +68517-68566 rgb_led_ws281x: g: "00" +68566-68615 rgb_led_ws281x: r: "ff" +68615-68665 rgb_led_ws281x: b: "00" 68517-68665 rgb_led_ws281x: rgb: "#ff0000" 68665-68671 rgb_led_ws281x: bit: "0" 68671-68677 rgb_led_ws281x: bit: "0" @@ -8773,6 +9823,9 @@ 68794-68800 rgb_led_ws281x: bit: "0" 68800-68806 rgb_led_ws281x: bit: "0" 68806-68813 rgb_led_ws281x: bit: "0" +68665-68714 rgb_led_ws281x: g: "00" +68714-68763 rgb_led_ws281x: r: "ff" +68763-68813 rgb_led_ws281x: b: "00" 68665-68813 rgb_led_ws281x: rgb: "#ff0000" 68813-68819 rgb_led_ws281x: bit: "0" 68819-68825 rgb_led_ws281x: bit: "0" @@ -8798,6 +9851,9 @@ 68942-68948 rgb_led_ws281x: bit: "0" 68948-68954 rgb_led_ws281x: bit: "0" 68954-68961 rgb_led_ws281x: bit: "0" +68813-68862 rgb_led_ws281x: g: "00" +68862-68911 rgb_led_ws281x: r: "f7" +68911-68961 rgb_led_ws281x: b: "00" 68813-68961 rgb_led_ws281x: rgb: "#f70000" 68961-68967 rgb_led_ws281x: bit: "0" 68967-68973 rgb_led_ws281x: bit: "0" @@ -8823,6 +9879,9 @@ 69090-69096 rgb_led_ws281x: bit: "0" 69096-69102 rgb_led_ws281x: bit: "0" 69102-69109 rgb_led_ws281x: bit: "0" +68961-69010 rgb_led_ws281x: g: "00" +69010-69059 rgb_led_ws281x: r: "ef" +69059-69109 rgb_led_ws281x: b: "00" 68961-69109 rgb_led_ws281x: rgb: "#ef0000" 69109-69115 rgb_led_ws281x: bit: "0" 69115-69121 rgb_led_ws281x: bit: "0" @@ -8848,6 +9907,9 @@ 69238-69244 rgb_led_ws281x: bit: "0" 69244-69251 rgb_led_ws281x: bit: "0" 69251-69257 rgb_led_ws281x: bit: "0" +69109-69158 rgb_led_ws281x: g: "00" +69158-69207 rgb_led_ws281x: r: "df" +69207-69257 rgb_led_ws281x: b: "00" 69109-69257 rgb_led_ws281x: rgb: "#df0000" 69257-69263 rgb_led_ws281x: bit: "0" 69263-69269 rgb_led_ws281x: bit: "0" @@ -8873,6 +9935,9 @@ 69386-69393 rgb_led_ws281x: bit: "0" 69393-69399 rgb_led_ws281x: bit: "0" 69399-69405 rgb_led_ws281x: bit: "0" +69257-69306 rgb_led_ws281x: g: "00" +69306-69356 rgb_led_ws281x: r: "bf" +69356-69405 rgb_led_ws281x: b: "00" 69257-69405 rgb_led_ws281x: rgb: "#bf0000" 69405-69411 rgb_led_ws281x: bit: "0" 69411-69418 rgb_led_ws281x: bit: "0" @@ -8898,6 +9963,9 @@ 69534-69541 rgb_led_ws281x: bit: "0" 69541-69547 rgb_led_ws281x: bit: "0" 69547-69553 rgb_led_ws281x: bit: "0" +69405-69454 rgb_led_ws281x: g: "00" +69454-69504 rgb_led_ws281x: r: "fe" +69504-69553 rgb_led_ws281x: b: "00" 69405-69553 rgb_led_ws281x: rgb: "#fe0000" 69553-69560 rgb_led_ws281x: bit: "0" 69560-69566 rgb_led_ws281x: bit: "0" @@ -8923,6 +9991,9 @@ 69683-69689 rgb_led_ws281x: bit: "0" 69689-69695 rgb_led_ws281x: bit: "0" 69695-69702 rgb_led_ws281x: bit: "0" +69553-69603 rgb_led_ws281x: g: "00" +69603-69652 rgb_led_ws281x: r: "fd" +69652-69702 rgb_led_ws281x: b: "00" 69553-69702 rgb_led_ws281x: rgb: "#fd0000" 69702-69708 rgb_led_ws281x: bit: "0" 69708-69714 rgb_led_ws281x: bit: "0" @@ -8948,6 +10019,9 @@ 69831-69837 rgb_led_ws281x: bit: "0" 69837-69843 rgb_led_ws281x: bit: "0" 69843-69850 rgb_led_ws281x: bit: "0" +69702-69751 rgb_led_ws281x: g: "00" +69751-69800 rgb_led_ws281x: r: "fb" +69800-69850 rgb_led_ws281x: b: "00" 69702-69850 rgb_led_ws281x: rgb: "#fb0000" 69850-69856 rgb_led_ws281x: bit: "0" 69856-69862 rgb_led_ws281x: bit: "0" @@ -8973,6 +10047,9 @@ 69979-69985 rgb_led_ws281x: bit: "0" 69985-69991 rgb_led_ws281x: bit: "0" 69991-69998 rgb_led_ws281x: bit: "0" +69850-69899 rgb_led_ws281x: g: "00" +69899-69948 rgb_led_ws281x: r: "ff" +69948-69998 rgb_led_ws281x: b: "00" 69850-69998 rgb_led_ws281x: rgb: "#ff0000" 69998-70004 rgb_led_ws281x: bit: "0" 70004-70010 rgb_led_ws281x: bit: "0" @@ -8998,6 +10075,9 @@ 70127-70133 rgb_led_ws281x: bit: "0" 70133-70139 rgb_led_ws281x: bit: "0" 70139-70146 rgb_led_ws281x: bit: "0" +69998-70047 rgb_led_ws281x: g: "00" +70047-70096 rgb_led_ws281x: r: "f7" +70096-70146 rgb_led_ws281x: b: "00" 69998-70146 rgb_led_ws281x: rgb: "#f70000" 70146-70152 rgb_led_ws281x: bit: "0" 70152-70158 rgb_led_ws281x: bit: "0" @@ -9023,6 +10103,9 @@ 70275-70281 rgb_led_ws281x: bit: "0" 70281-70287 rgb_led_ws281x: bit: "0" 70287-70294 rgb_led_ws281x: bit: "0" +70146-70195 rgb_led_ws281x: g: "00" +70195-70244 rgb_led_ws281x: r: "ef" +70244-70294 rgb_led_ws281x: b: "00" 70146-70294 rgb_led_ws281x: rgb: "#ef0000" 70294-70300 rgb_led_ws281x: bit: "0" 70300-70306 rgb_led_ws281x: bit: "0" @@ -9048,6 +10131,9 @@ 70423-70429 rgb_led_ws281x: bit: "0" 70429-70436 rgb_led_ws281x: bit: "0" 70436-70442 rgb_led_ws281x: bit: "0" +70294-70343 rgb_led_ws281x: g: "00" +70343-70392 rgb_led_ws281x: r: "df" +70392-70442 rgb_led_ws281x: b: "00" 70294-70442 rgb_led_ws281x: rgb: "#df0000" 70442-70448 rgb_led_ws281x: bit: "0" 70448-70454 rgb_led_ws281x: bit: "0" @@ -9073,6 +10159,9 @@ 70571-70578 rgb_led_ws281x: bit: "0" 70578-70584 rgb_led_ws281x: bit: "0" 70584-70590 rgb_led_ws281x: bit: "0" +70442-70491 rgb_led_ws281x: g: "00" +70491-70541 rgb_led_ws281x: r: "bf" +70541-70590 rgb_led_ws281x: b: "00" 70442-70590 rgb_led_ws281x: rgb: "#bf0000" 70590-70596 rgb_led_ws281x: bit: "0" 70596-70603 rgb_led_ws281x: bit: "0" @@ -9098,6 +10187,9 @@ 70719-70726 rgb_led_ws281x: bit: "0" 70726-70732 rgb_led_ws281x: bit: "0" 70732-70738 rgb_led_ws281x: bit: "0" +70590-70640 rgb_led_ws281x: g: "00" +70640-70689 rgb_led_ws281x: r: "7e" +70689-70738 rgb_led_ws281x: b: "00" 70590-70738 rgb_led_ws281x: rgb: "#7e0000" 70738-70745 rgb_led_ws281x: bit: "0" 70745-70751 rgb_led_ws281x: bit: "0" @@ -9123,6 +10215,9 @@ 70868-70874 rgb_led_ws281x: bit: "0" 70874-70880 rgb_led_ws281x: bit: "0" 70880-70887 rgb_led_ws281x: bit: "0" +70738-70788 rgb_led_ws281x: g: "00" +70788-70837 rgb_led_ws281x: r: "fd" +70837-70887 rgb_led_ws281x: b: "00" 70738-70887 rgb_led_ws281x: rgb: "#fd0000" 70887-70893 rgb_led_ws281x: bit: "0" 70893-70899 rgb_led_ws281x: bit: "0" @@ -9148,6 +10243,9 @@ 71016-71022 rgb_led_ws281x: bit: "0" 71022-71028 rgb_led_ws281x: bit: "0" 71028-71035 rgb_led_ws281x: bit: "0" +70887-70936 rgb_led_ws281x: g: "00" +70936-70985 rgb_led_ws281x: r: "fb" +70985-71035 rgb_led_ws281x: b: "00" 70887-71035 rgb_led_ws281x: rgb: "#fb0000" 71035-71041 rgb_led_ws281x: bit: "0" 71041-71047 rgb_led_ws281x: bit: "0" @@ -9173,6 +10271,9 @@ 71164-71170 rgb_led_ws281x: bit: "0" 71170-71176 rgb_led_ws281x: bit: "0" 71176-71183 rgb_led_ws281x: bit: "0" +71035-71084 rgb_led_ws281x: g: "00" +71084-71133 rgb_led_ws281x: r: "f7" +71133-71183 rgb_led_ws281x: b: "00" 71035-71183 rgb_led_ws281x: rgb: "#f70000" 71183-71189 rgb_led_ws281x: bit: "0" 71189-71195 rgb_led_ws281x: bit: "0" @@ -9198,6 +10299,9 @@ 71312-71318 rgb_led_ws281x: bit: "0" 71318-71324 rgb_led_ws281x: bit: "0" 71324-71331 rgb_led_ws281x: bit: "0" +71183-71232 rgb_led_ws281x: g: "00" +71232-71281 rgb_led_ws281x: r: "ff" +71281-71331 rgb_led_ws281x: b: "00" 71183-71331 rgb_led_ws281x: rgb: "#ff0000" 71331-71337 rgb_led_ws281x: bit: "0" 71337-71343 rgb_led_ws281x: bit: "0" @@ -9223,6 +10327,9 @@ 71460-71466 rgb_led_ws281x: bit: "0" 71466-71472 rgb_led_ws281x: bit: "0" 71472-71479 rgb_led_ws281x: bit: "0" +71331-71380 rgb_led_ws281x: g: "00" +71380-71429 rgb_led_ws281x: r: "ef" +71429-71479 rgb_led_ws281x: b: "00" 71331-71479 rgb_led_ws281x: rgb: "#ef0000" 71479-71485 rgb_led_ws281x: bit: "0" 71485-71491 rgb_led_ws281x: bit: "0" @@ -9248,6 +10355,9 @@ 71608-71614 rgb_led_ws281x: bit: "0" 71614-71621 rgb_led_ws281x: bit: "0" 71621-71627 rgb_led_ws281x: bit: "0" +71479-71528 rgb_led_ws281x: g: "00" +71528-71578 rgb_led_ws281x: r: "df" +71578-71627 rgb_led_ws281x: b: "00" 71479-71627 rgb_led_ws281x: rgb: "#df0000" 71627-71633 rgb_led_ws281x: bit: "0" 71633-71639 rgb_led_ws281x: bit: "0" @@ -9273,6 +10383,9 @@ 71756-71763 rgb_led_ws281x: bit: "0" 71763-71769 rgb_led_ws281x: bit: "0" 71769-71775 rgb_led_ws281x: bit: "0" +71627-71676 rgb_led_ws281x: g: "00" +71676-71726 rgb_led_ws281x: r: "bf" +71726-71775 rgb_led_ws281x: b: "00" 71627-71775 rgb_led_ws281x: rgb: "#bf0000" 71775-71781 rgb_led_ws281x: bit: "0" 71781-71788 rgb_led_ws281x: bit: "0" @@ -9298,6 +10411,9 @@ 71905-71911 rgb_led_ws281x: bit: "0" 71911-71917 rgb_led_ws281x: bit: "0" 71917-71923 rgb_led_ws281x: bit: "0" +71775-71825 rgb_led_ws281x: g: "00" +71825-71874 rgb_led_ws281x: r: "7e" +71874-71923 rgb_led_ws281x: b: "00" 71775-71923 rgb_led_ws281x: rgb: "#7e0000" 71923-71930 rgb_led_ws281x: bit: "0" 71930-71936 rgb_led_ws281x: bit: "0" @@ -9323,6 +10439,9 @@ 72053-72059 rgb_led_ws281x: bit: "0" 72059-72065 rgb_led_ws281x: bit: "0" 72065-72072 rgb_led_ws281x: bit: "0" +71923-71973 rgb_led_ws281x: g: "00" +71973-72022 rgb_led_ws281x: r: "fd" +72022-72072 rgb_led_ws281x: b: "00" 71923-72072 rgb_led_ws281x: rgb: "#fd0000" 72072-72078 rgb_led_ws281x: bit: "0" 72078-72084 rgb_led_ws281x: bit: "0" @@ -9348,6 +10467,9 @@ 72201-72207 rgb_led_ws281x: bit: "0" 72207-72213 rgb_led_ws281x: bit: "0" 72213-72220 rgb_led_ws281x: bit: "0" +72072-72121 rgb_led_ws281x: g: "00" +72121-72170 rgb_led_ws281x: r: "fb" +72170-72220 rgb_led_ws281x: b: "00" 72072-72220 rgb_led_ws281x: rgb: "#fb0000" 72220-72226 rgb_led_ws281x: bit: "0" 72226-72232 rgb_led_ws281x: bit: "0" @@ -9373,6 +10495,9 @@ 72349-72355 rgb_led_ws281x: bit: "0" 72355-72361 rgb_led_ws281x: bit: "0" 72361-72368 rgb_led_ws281x: bit: "0" +72220-72269 rgb_led_ws281x: g: "00" +72269-72318 rgb_led_ws281x: r: "f7" +72318-72368 rgb_led_ws281x: b: "00" 72220-72368 rgb_led_ws281x: rgb: "#f70000" 72368-72374 rgb_led_ws281x: bit: "0" 72374-72380 rgb_led_ws281x: bit: "0" @@ -9398,6 +10523,9 @@ 72497-72503 rgb_led_ws281x: bit: "0" 72503-72509 rgb_led_ws281x: bit: "0" 72509-72516 rgb_led_ws281x: bit: "0" +72368-72417 rgb_led_ws281x: g: "00" +72417-72466 rgb_led_ws281x: r: "ff" +72466-72516 rgb_led_ws281x: b: "00" 72368-72516 rgb_led_ws281x: rgb: "#ff0000" 72516-72522 rgb_led_ws281x: bit: "0" 72522-72528 rgb_led_ws281x: bit: "0" @@ -9423,6 +10551,9 @@ 72645-72651 rgb_led_ws281x: bit: "0" 72651-72657 rgb_led_ws281x: bit: "0" 72657-72664 rgb_led_ws281x: bit: "0" +72516-72565 rgb_led_ws281x: g: "00" +72565-72614 rgb_led_ws281x: r: "ef" +72614-72664 rgb_led_ws281x: b: "00" 72516-72664 rgb_led_ws281x: rgb: "#ef0000" 72664-72670 rgb_led_ws281x: bit: "0" 72670-72676 rgb_led_ws281x: bit: "0" @@ -9448,6 +10579,9 @@ 72793-72799 rgb_led_ws281x: bit: "0" 72799-72806 rgb_led_ws281x: bit: "0" 72806-72812 rgb_led_ws281x: bit: "0" +72664-72713 rgb_led_ws281x: g: "00" +72713-72763 rgb_led_ws281x: r: "df" +72763-72812 rgb_led_ws281x: b: "00" 72664-72812 rgb_led_ws281x: rgb: "#df0000" 72812-72818 rgb_led_ws281x: bit: "0" 72818-72825 rgb_led_ws281x: bit: "0" @@ -9473,6 +10607,9 @@ 72941-72948 rgb_led_ws281x: bit: "0" 72948-72954 rgb_led_ws281x: bit: "0" 72954-72960 rgb_led_ws281x: bit: "0" +72812-72861 rgb_led_ws281x: g: "00" +72861-72911 rgb_led_ws281x: r: "bf" +72911-72960 rgb_led_ws281x: b: "00" 72812-72960 rgb_led_ws281x: rgb: "#bf0000" 72960-72966 rgb_led_ws281x: bit: "0" 72966-72973 rgb_led_ws281x: bit: "0" @@ -9498,6 +10635,9 @@ 73090-73096 rgb_led_ws281x: bit: "0" 73096-73102 rgb_led_ws281x: bit: "0" 73102-73108 rgb_led_ws281x: bit: "0" +72960-73010 rgb_led_ws281x: g: "00" +73010-73059 rgb_led_ws281x: r: "7f" +73059-73108 rgb_led_ws281x: b: "00" 72960-73108 rgb_led_ws281x: rgb: "#7f0000" 73108-73115 rgb_led_ws281x: bit: "0" 73115-73121 rgb_led_ws281x: bit: "0" @@ -9523,6 +10663,9 @@ 73238-73244 rgb_led_ws281x: bit: "0" 73244-73250 rgb_led_ws281x: bit: "0" 73250-73257 rgb_led_ws281x: bit: "0" +73108-73158 rgb_led_ws281x: g: "00" +73158-73207 rgb_led_ws281x: r: "fd" +73207-73257 rgb_led_ws281x: b: "00" 73108-73257 rgb_led_ws281x: rgb: "#fd0000" 73257-73263 rgb_led_ws281x: bit: "0" 73263-73269 rgb_led_ws281x: bit: "0" @@ -9548,6 +10691,9 @@ 73386-73392 rgb_led_ws281x: bit: "0" 73392-73398 rgb_led_ws281x: bit: "0" 73398-73405 rgb_led_ws281x: bit: "0" +73257-73306 rgb_led_ws281x: g: "00" +73306-73355 rgb_led_ws281x: r: "fb" +73355-73405 rgb_led_ws281x: b: "00" 73257-73405 rgb_led_ws281x: rgb: "#fb0000" 73405-73411 rgb_led_ws281x: bit: "0" 73411-73417 rgb_led_ws281x: bit: "0" @@ -9573,6 +10719,9 @@ 73534-73540 rgb_led_ws281x: bit: "0" 73540-73546 rgb_led_ws281x: bit: "0" 73546-73553 rgb_led_ws281x: bit: "0" +73405-73454 rgb_led_ws281x: g: "00" +73454-73503 rgb_led_ws281x: r: "f7" +73503-73553 rgb_led_ws281x: b: "00" 73405-73553 rgb_led_ws281x: rgb: "#f70000" 73553-73559 rgb_led_ws281x: bit: "0" 73559-73565 rgb_led_ws281x: bit: "0" @@ -9598,6 +10747,9 @@ 73682-73688 rgb_led_ws281x: bit: "0" 73688-73694 rgb_led_ws281x: bit: "0" 73694-73701 rgb_led_ws281x: bit: "0" +73553-73602 rgb_led_ws281x: g: "00" +73602-73651 rgb_led_ws281x: r: "ef" +73651-73701 rgb_led_ws281x: b: "00" 73553-73701 rgb_led_ws281x: rgb: "#ef0000" 73701-73707 rgb_led_ws281x: bit: "0" 73707-73713 rgb_led_ws281x: bit: "0" @@ -9623,6 +10775,9 @@ 73830-73836 rgb_led_ws281x: bit: "0" 73836-73842 rgb_led_ws281x: bit: "0" 73842-73849 rgb_led_ws281x: bit: "0" +73701-73750 rgb_led_ws281x: g: "00" +73750-73799 rgb_led_ws281x: r: "ff" +73799-73849 rgb_led_ws281x: b: "00" 73701-73849 rgb_led_ws281x: rgb: "#ff0000" 73849-73855 rgb_led_ws281x: bit: "0" 73855-73861 rgb_led_ws281x: bit: "0" @@ -9648,6 +10803,9 @@ 73978-73984 rgb_led_ws281x: bit: "0" 73984-73991 rgb_led_ws281x: bit: "0" 73991-73997 rgb_led_ws281x: bit: "0" +73849-73898 rgb_led_ws281x: g: "00" +73898-73948 rgb_led_ws281x: r: "df" +73948-73997 rgb_led_ws281x: b: "00" 73849-73997 rgb_led_ws281x: rgb: "#df0000" 73997-74003 rgb_led_ws281x: bit: "0" 74003-74009 rgb_led_ws281x: bit: "0" @@ -9673,6 +10831,9 @@ 74126-74133 rgb_led_ws281x: bit: "0" 74133-74139 rgb_led_ws281x: bit: "0" 74139-74145 rgb_led_ws281x: bit: "0" +73997-74046 rgb_led_ws281x: g: "00" +74046-74096 rgb_led_ws281x: r: "be" +74096-74145 rgb_led_ws281x: b: "00" 73997-74145 rgb_led_ws281x: rgb: "#be0000" 74145-74151 rgb_led_ws281x: bit: "0" 74151-74158 rgb_led_ws281x: bit: "0" @@ -9698,6 +10859,9 @@ 74275-74281 rgb_led_ws281x: bit: "0" 74281-74287 rgb_led_ws281x: bit: "0" 74287-74293 rgb_led_ws281x: bit: "0" +74145-74195 rgb_led_ws281x: g: "00" +74195-74244 rgb_led_ws281x: r: "7f" +74244-74293 rgb_led_ws281x: b: "00" 74145-74293 rgb_led_ws281x: rgb: "#7f0000" 74293-74300 rgb_led_ws281x: bit: "0" 74300-74306 rgb_led_ws281x: bit: "0" @@ -9723,6 +10887,9 @@ 74423-74429 rgb_led_ws281x: bit: "0" 74429-74435 rgb_led_ws281x: bit: "0" 74435-74442 rgb_led_ws281x: bit: "0" +74293-74343 rgb_led_ws281x: g: "00" +74343-74392 rgb_led_ws281x: r: "fd" +74392-74442 rgb_led_ws281x: b: "00" 74293-74442 rgb_led_ws281x: rgb: "#fd0000" 74442-74448 rgb_led_ws281x: bit: "0" 74448-74454 rgb_led_ws281x: bit: "0" @@ -9748,6 +10915,9 @@ 74571-74577 rgb_led_ws281x: bit: "0" 74577-74583 rgb_led_ws281x: bit: "0" 74583-74590 rgb_led_ws281x: bit: "0" +74442-74491 rgb_led_ws281x: g: "00" +74491-74540 rgb_led_ws281x: r: "fb" +74540-74590 rgb_led_ws281x: b: "00" 74442-74590 rgb_led_ws281x: rgb: "#fb0000" 74590-74596 rgb_led_ws281x: bit: "0" 74596-74602 rgb_led_ws281x: bit: "0" @@ -9773,6 +10943,9 @@ 74719-74725 rgb_led_ws281x: bit: "0" 74725-74731 rgb_led_ws281x: bit: "0" 74731-74738 rgb_led_ws281x: bit: "0" +74590-74639 rgb_led_ws281x: g: "00" +74639-74688 rgb_led_ws281x: r: "f7" +74688-74738 rgb_led_ws281x: b: "00" 74590-74738 rgb_led_ws281x: rgb: "#f70000" 74738-74744 rgb_led_ws281x: bit: "0" 74744-74750 rgb_led_ws281x: bit: "0" @@ -9798,6 +10971,9 @@ 74867-74873 rgb_led_ws281x: bit: "0" 74873-74879 rgb_led_ws281x: bit: "0" 74879-74886 rgb_led_ws281x: bit: "0" +74738-74787 rgb_led_ws281x: g: "00" +74787-74836 rgb_led_ws281x: r: "ef" +74836-74886 rgb_led_ws281x: b: "00" 74738-74886 rgb_led_ws281x: rgb: "#ef0000" 74886-74892 rgb_led_ws281x: bit: "0" 74892-74898 rgb_led_ws281x: bit: "0" @@ -9823,6 +10999,9 @@ 75015-75021 rgb_led_ws281x: bit: "0" 75021-75028 rgb_led_ws281x: bit: "0" 75028-75034 rgb_led_ws281x: bit: "0" +74886-74935 rgb_led_ws281x: g: "00" +74935-74984 rgb_led_ws281x: r: "ff" +74984-75034 rgb_led_ws281x: b: "00" 74886-75034 rgb_led_ws281x: rgb: "#ff0000" 75034-75040 rgb_led_ws281x: bit: "0" 75040-75046 rgb_led_ws281x: bit: "0" @@ -9848,6 +11027,9 @@ 75163-75169 rgb_led_ws281x: bit: "0" 75169-75176 rgb_led_ws281x: bit: "0" 75176-75182 rgb_led_ws281x: bit: "0" +75034-75083 rgb_led_ws281x: g: "00" +75083-75133 rgb_led_ws281x: r: "ff" +75133-75182 rgb_led_ws281x: b: "00" 75034-75182 rgb_led_ws281x: rgb: "#ff0000" 75182-75188 rgb_led_ws281x: bit: "0" 75188-75195 rgb_led_ws281x: bit: "0" @@ -9873,6 +11055,9 @@ 75311-75318 rgb_led_ws281x: bit: "0" 75318-75324 rgb_led_ws281x: bit: "0" 75324-75330 rgb_led_ws281x: bit: "0" +75182-75231 rgb_led_ws281x: g: "00" +75231-75281 rgb_led_ws281x: r: "be" +75281-75330 rgb_led_ws281x: b: "00" 75182-75330 rgb_led_ws281x: rgb: "#be0000" 75330-75337 rgb_led_ws281x: bit: "0" 75337-75343 rgb_led_ws281x: bit: "0" @@ -9898,6 +11083,9 @@ 75460-75466 rgb_led_ws281x: bit: "0" 75466-75472 rgb_led_ws281x: bit: "0" 75472-75478 rgb_led_ws281x: bit: "0" +75330-75380 rgb_led_ws281x: g: "00" +75380-75429 rgb_led_ws281x: r: "7d" +75429-75478 rgb_led_ws281x: b: "00" 75330-75478 rgb_led_ws281x: rgb: "#7d0000" 75478-75485 rgb_led_ws281x: bit: "0" 75485-75491 rgb_led_ws281x: bit: "0" @@ -9923,6 +11111,9 @@ 75608-75614 rgb_led_ws281x: bit: "0" 75614-75620 rgb_led_ws281x: bit: "0" 75620-75627 rgb_led_ws281x: bit: "0" +75478-75528 rgb_led_ws281x: g: "00" +75528-75577 rgb_led_ws281x: r: "ff" +75577-75627 rgb_led_ws281x: b: "00" 75478-75627 rgb_led_ws281x: rgb: "#ff0000" 75627-75633 rgb_led_ws281x: bit: "0" 75633-75639 rgb_led_ws281x: bit: "0" @@ -9948,6 +11139,9 @@ 75756-75762 rgb_led_ws281x: bit: "0" 75762-75768 rgb_led_ws281x: bit: "0" 75768-75775 rgb_led_ws281x: bit: "0" +75627-75676 rgb_led_ws281x: g: "00" +75676-75725 rgb_led_ws281x: r: "fb" +75725-75775 rgb_led_ws281x: b: "00" 75627-75775 rgb_led_ws281x: rgb: "#fb0000" 75775-75781 rgb_led_ws281x: bit: "0" 75781-75787 rgb_led_ws281x: bit: "0" @@ -9973,6 +11167,9 @@ 75904-75910 rgb_led_ws281x: bit: "0" 75910-75916 rgb_led_ws281x: bit: "0" 75916-75923 rgb_led_ws281x: bit: "0" +75775-75824 rgb_led_ws281x: g: "00" +75824-75873 rgb_led_ws281x: r: "f7" +75873-75923 rgb_led_ws281x: b: "00" 75775-75923 rgb_led_ws281x: rgb: "#f70000" 75923-75929 rgb_led_ws281x: bit: "0" 75929-75935 rgb_led_ws281x: bit: "0" @@ -9998,6 +11195,9 @@ 76052-76058 rgb_led_ws281x: bit: "0" 76058-76064 rgb_led_ws281x: bit: "0" 76064-76071 rgb_led_ws281x: bit: "0" +75923-75972 rgb_led_ws281x: g: "00" +75972-76021 rgb_led_ws281x: r: "ef" +76021-76071 rgb_led_ws281x: b: "00" 75923-76071 rgb_led_ws281x: rgb: "#ef0000" 76071-76077 rgb_led_ws281x: bit: "0" 76077-76083 rgb_led_ws281x: bit: "0" @@ -10023,6 +11223,9 @@ 76200-76206 rgb_led_ws281x: bit: "0" 76206-76213 rgb_led_ws281x: bit: "0" 76213-76219 rgb_led_ws281x: bit: "0" +76071-76120 rgb_led_ws281x: g: "00" +76120-76169 rgb_led_ws281x: r: "df" +76169-76219 rgb_led_ws281x: b: "00" 76071-76219 rgb_led_ws281x: rgb: "#df0000" 76219-76225 rgb_led_ws281x: bit: "0" 76225-76231 rgb_led_ws281x: bit: "0" @@ -10048,6 +11251,9 @@ 76348-76354 rgb_led_ws281x: bit: "0" 76354-76361 rgb_led_ws281x: bit: "0" 76361-76367 rgb_led_ws281x: bit: "0" +76219-76268 rgb_led_ws281x: g: "00" +76268-76318 rgb_led_ws281x: r: "ff" +76318-76367 rgb_led_ws281x: b: "00" 76219-76367 rgb_led_ws281x: rgb: "#ff0000" 76367-76373 rgb_led_ws281x: bit: "0" 76373-76380 rgb_led_ws281x: bit: "0" @@ -10073,6 +11279,9 @@ 76496-76503 rgb_led_ws281x: bit: "0" 76503-76509 rgb_led_ws281x: bit: "0" 76509-76515 rgb_led_ws281x: bit: "0" +76367-76416 rgb_led_ws281x: g: "00" +76416-76466 rgb_led_ws281x: r: "be" +76466-76515 rgb_led_ws281x: b: "00" 76367-76515 rgb_led_ws281x: rgb: "#be0000" 76515-76522 rgb_led_ws281x: bit: "0" 76522-76528 rgb_led_ws281x: bit: "0" @@ -10098,6 +11307,9 @@ 76645-76651 rgb_led_ws281x: bit: "0" 76651-76657 rgb_led_ws281x: bit: "0" 76657-76663 rgb_led_ws281x: bit: "0" +76515-76565 rgb_led_ws281x: g: "00" +76565-76614 rgb_led_ws281x: r: "7d" +76614-76663 rgb_led_ws281x: b: "00" 76515-76663 rgb_led_ws281x: rgb: "#7d0000" 76663-76670 rgb_led_ws281x: bit: "0" 76670-76676 rgb_led_ws281x: bit: "0" @@ -10123,6 +11335,9 @@ 76793-76799 rgb_led_ws281x: bit: "0" 76799-76805 rgb_led_ws281x: bit: "0" 76805-76812 rgb_led_ws281x: bit: "0" +76663-76713 rgb_led_ws281x: g: "00" +76713-76762 rgb_led_ws281x: r: "ff" +76762-76812 rgb_led_ws281x: b: "00" 76663-76812 rgb_led_ws281x: rgb: "#ff0000" 76812-76818 rgb_led_ws281x: bit: "0" 76818-76824 rgb_led_ws281x: bit: "0" @@ -10148,6 +11363,9 @@ 76941-76947 rgb_led_ws281x: bit: "0" 76947-76953 rgb_led_ws281x: bit: "0" 76953-76960 rgb_led_ws281x: bit: "0" +76812-76861 rgb_led_ws281x: g: "00" +76861-76910 rgb_led_ws281x: r: "ff" +76910-76960 rgb_led_ws281x: b: "00" 76812-76960 rgb_led_ws281x: rgb: "#ff0000" 76960-76966 rgb_led_ws281x: bit: "0" 76966-76972 rgb_led_ws281x: bit: "0" @@ -10173,6 +11391,9 @@ 77089-77095 rgb_led_ws281x: bit: "0" 77095-77101 rgb_led_ws281x: bit: "0" 77101-77108 rgb_led_ws281x: bit: "0" +76960-77009 rgb_led_ws281x: g: "00" +77009-77058 rgb_led_ws281x: r: "f7" +77058-77108 rgb_led_ws281x: b: "00" 76960-77108 rgb_led_ws281x: rgb: "#f70000" 77108-77114 rgb_led_ws281x: bit: "0" 77114-77120 rgb_led_ws281x: bit: "0" @@ -10198,6 +11419,9 @@ 77237-77243 rgb_led_ws281x: bit: "0" 77243-77249 rgb_led_ws281x: bit: "0" 77249-77256 rgb_led_ws281x: bit: "0" +77108-77157 rgb_led_ws281x: g: "00" +77157-77206 rgb_led_ws281x: r: "ef" +77206-77256 rgb_led_ws281x: b: "00" 77108-77256 rgb_led_ws281x: rgb: "#ef0000" 77256-77262 rgb_led_ws281x: bit: "0" 77262-77268 rgb_led_ws281x: bit: "0" @@ -10223,6 +11447,9 @@ 77385-77391 rgb_led_ws281x: bit: "0" 77391-77398 rgb_led_ws281x: bit: "0" 77398-77404 rgb_led_ws281x: bit: "0" +77256-77305 rgb_led_ws281x: g: "00" +77305-77354 rgb_led_ws281x: r: "df" +77354-77404 rgb_led_ws281x: b: "00" 77256-77404 rgb_led_ws281x: rgb: "#df0000" 77404-77410 rgb_led_ws281x: bit: "0" 77410-77416 rgb_led_ws281x: bit: "0" @@ -10248,6 +11475,9 @@ 77533-77540 rgb_led_ws281x: bit: "0" 77540-77546 rgb_led_ws281x: bit: "0" 77546-77552 rgb_led_ws281x: bit: "0" +77404-77453 rgb_led_ws281x: g: "00" +77453-77503 rgb_led_ws281x: r: "ff" +77503-77552 rgb_led_ws281x: b: "00" 77404-77552 rgb_led_ws281x: rgb: "#ff0000" 77552-77558 rgb_led_ws281x: bit: "0" 77558-77565 rgb_led_ws281x: bit: "0" @@ -10273,6 +11503,9 @@ 77681-77688 rgb_led_ws281x: bit: "0" 77688-77694 rgb_led_ws281x: bit: "0" 77694-77700 rgb_led_ws281x: bit: "0" +77552-77601 rgb_led_ws281x: g: "00" +77601-77651 rgb_led_ws281x: r: "fe" +77651-77700 rgb_led_ws281x: b: "00" 77552-77700 rgb_led_ws281x: rgb: "#fe0000" 77700-77707 rgb_led_ws281x: bit: "0" 77707-77713 rgb_led_ws281x: bit: "0" @@ -10298,6 +11531,9 @@ 77830-77836 rgb_led_ws281x: bit: "0" 77836-77842 rgb_led_ws281x: bit: "0" 77842-77849 rgb_led_ws281x: bit: "0" +77700-77750 rgb_led_ws281x: g: "00" +77750-77799 rgb_led_ws281x: r: "7d" +77799-77849 rgb_led_ws281x: b: "00" 77700-77849 rgb_led_ws281x: rgb: "#7d0000" 77849-77855 rgb_led_ws281x: bit: "0" 77855-77861 rgb_led_ws281x: bit: "0" @@ -10323,6 +11559,9 @@ 77978-77984 rgb_led_ws281x: bit: "0" 77984-77990 rgb_led_ws281x: bit: "0" 77990-77997 rgb_led_ws281x: bit: "0" +77849-77898 rgb_led_ws281x: g: "00" +77898-77947 rgb_led_ws281x: r: "ff" +77947-77997 rgb_led_ws281x: b: "00" 77849-77997 rgb_led_ws281x: rgb: "#ff0000" 77997-78003 rgb_led_ws281x: bit: "0" 78003-78009 rgb_led_ws281x: bit: "0" @@ -10348,6 +11587,9 @@ 78126-78132 rgb_led_ws281x: bit: "0" 78132-78138 rgb_led_ws281x: bit: "0" 78138-78145 rgb_led_ws281x: bit: "0" +77997-78046 rgb_led_ws281x: g: "00" +78046-78095 rgb_led_ws281x: r: "ff" +78095-78145 rgb_led_ws281x: b: "00" 77997-78145 rgb_led_ws281x: rgb: "#ff0000" 78145-78151 rgb_led_ws281x: bit: "0" 78151-78157 rgb_led_ws281x: bit: "0" @@ -10373,6 +11615,9 @@ 78274-78280 rgb_led_ws281x: bit: "0" 78280-78286 rgb_led_ws281x: bit: "0" 78286-78293 rgb_led_ws281x: bit: "0" +78145-78194 rgb_led_ws281x: g: "00" +78194-78243 rgb_led_ws281x: r: "f7" +78243-78293 rgb_led_ws281x: b: "00" 78145-78293 rgb_led_ws281x: rgb: "#f70000" 78293-78299 rgb_led_ws281x: bit: "0" 78299-78305 rgb_led_ws281x: bit: "0" @@ -10398,6 +11643,9 @@ 78422-78428 rgb_led_ws281x: bit: "0" 78428-78434 rgb_led_ws281x: bit: "0" 78434-78441 rgb_led_ws281x: bit: "0" +78293-78342 rgb_led_ws281x: g: "00" +78342-78391 rgb_led_ws281x: r: "ef" +78391-78441 rgb_led_ws281x: b: "00" 78293-78441 rgb_led_ws281x: rgb: "#ef0000" 78441-78447 rgb_led_ws281x: bit: "0" 78447-78453 rgb_led_ws281x: bit: "0" @@ -10423,6 +11671,9 @@ 78570-78576 rgb_led_ws281x: bit: "0" 78576-78583 rgb_led_ws281x: bit: "0" 78583-78589 rgb_led_ws281x: bit: "0" +78441-78490 rgb_led_ws281x: g: "00" +78490-78539 rgb_led_ws281x: r: "df" +78539-78589 rgb_led_ws281x: b: "00" 78441-78589 rgb_led_ws281x: rgb: "#df0000" 78589-78595 rgb_led_ws281x: bit: "0" 78595-78601 rgb_led_ws281x: bit: "0" @@ -10448,6 +11699,9 @@ 78718-78725 rgb_led_ws281x: bit: "0" 78725-78731 rgb_led_ws281x: bit: "0" 78731-78737 rgb_led_ws281x: bit: "0" +78589-78638 rgb_led_ws281x: g: "00" +78638-78688 rgb_led_ws281x: r: "bf" +78688-78737 rgb_led_ws281x: b: "00" 78589-78737 rgb_led_ws281x: rgb: "#bf0000" 78737-78743 rgb_led_ws281x: bit: "0" 78743-78750 rgb_led_ws281x: bit: "0" @@ -10473,6 +11727,9 @@ 78866-78873 rgb_led_ws281x: bit: "0" 78873-78879 rgb_led_ws281x: bit: "0" 78879-78885 rgb_led_ws281x: bit: "0" +78737-78787 rgb_led_ws281x: g: "00" +78787-78836 rgb_led_ws281x: r: "7e" +78836-78885 rgb_led_ws281x: b: "00" 78737-78885 rgb_led_ws281x: rgb: "#7e0000" 78885-78892 rgb_led_ws281x: bit: "0" 78892-78898 rgb_led_ws281x: bit: "0" @@ -10498,6 +11755,9 @@ 79015-79021 rgb_led_ws281x: bit: "0" 79021-79027 rgb_led_ws281x: bit: "0" 79027-79034 rgb_led_ws281x: bit: "0" +78885-78935 rgb_led_ws281x: g: "00" +78935-78984 rgb_led_ws281x: r: "fd" +78984-79034 rgb_led_ws281x: b: "00" 78885-79034 rgb_led_ws281x: rgb: "#fd0000" 79034-79040 rgb_led_ws281x: bit: "0" 79040-79046 rgb_led_ws281x: bit: "0" @@ -10523,6 +11783,9 @@ 79163-79169 rgb_led_ws281x: bit: "0" 79169-79175 rgb_led_ws281x: bit: "0" 79175-79182 rgb_led_ws281x: bit: "0" +79034-79083 rgb_led_ws281x: g: "00" +79083-79132 rgb_led_ws281x: r: "fb" +79132-79182 rgb_led_ws281x: b: "00" 79034-79182 rgb_led_ws281x: rgb: "#fb0000" 79182-79188 rgb_led_ws281x: bit: "0" 79188-79194 rgb_led_ws281x: bit: "0" @@ -10548,6 +11811,9 @@ 79311-79317 rgb_led_ws281x: bit: "0" 79317-79323 rgb_led_ws281x: bit: "0" 79323-79330 rgb_led_ws281x: bit: "0" +79182-79231 rgb_led_ws281x: g: "00" +79231-79280 rgb_led_ws281x: r: "ff" +79280-79330 rgb_led_ws281x: b: "00" 79182-79330 rgb_led_ws281x: rgb: "#ff0000" 79330-79336 rgb_led_ws281x: bit: "0" 79336-79342 rgb_led_ws281x: bit: "0" @@ -10573,6 +11839,9 @@ 79459-79465 rgb_led_ws281x: bit: "0" 79465-79471 rgb_led_ws281x: bit: "0" 79471-79478 rgb_led_ws281x: bit: "0" +79330-79379 rgb_led_ws281x: g: "00" +79379-79428 rgb_led_ws281x: r: "f7" +79428-79478 rgb_led_ws281x: b: "00" 79330-79478 rgb_led_ws281x: rgb: "#f70000" 79478-79484 rgb_led_ws281x: bit: "0" 79484-79490 rgb_led_ws281x: bit: "0" @@ -10598,6 +11867,9 @@ 79607-79613 rgb_led_ws281x: bit: "0" 79613-79619 rgb_led_ws281x: bit: "0" 79619-79626 rgb_led_ws281x: bit: "0" +79478-79527 rgb_led_ws281x: g: "00" +79527-79576 rgb_led_ws281x: r: "ef" +79576-79626 rgb_led_ws281x: b: "00" 79478-79626 rgb_led_ws281x: rgb: "#ef0000" 79626-79632 rgb_led_ws281x: bit: "0" 79632-79638 rgb_led_ws281x: bit: "0" @@ -10623,6 +11895,9 @@ 79755-79761 rgb_led_ws281x: bit: "0" 79761-79768 rgb_led_ws281x: bit: "0" 79768-79774 rgb_led_ws281x: bit: "0" +79626-79675 rgb_led_ws281x: g: "00" +79675-79724 rgb_led_ws281x: r: "df" +79724-79774 rgb_led_ws281x: b: "00" 79626-79774 rgb_led_ws281x: rgb: "#df0000" 79774-79780 rgb_led_ws281x: bit: "0" 79780-79786 rgb_led_ws281x: bit: "0" @@ -10648,6 +11923,9 @@ 79903-79910 rgb_led_ws281x: bit: "0" 79910-79916 rgb_led_ws281x: bit: "0" 79916-79922 rgb_led_ws281x: bit: "0" +79774-79823 rgb_led_ws281x: g: "00" +79823-79873 rgb_led_ws281x: r: "bf" +79873-79922 rgb_led_ws281x: b: "00" 79774-79922 rgb_led_ws281x: rgb: "#bf0000" 79922-79928 rgb_led_ws281x: bit: "0" 79928-79935 rgb_led_ws281x: bit: "0" @@ -10673,6 +11951,9 @@ 80051-80058 rgb_led_ws281x: bit: "0" 80058-80064 rgb_led_ws281x: bit: "0" 80064-80070 rgb_led_ws281x: bit: "0" +79922-79972 rgb_led_ws281x: g: "00" +79972-80021 rgb_led_ws281x: r: "7e" +80021-80070 rgb_led_ws281x: b: "00" 79922-80070 rgb_led_ws281x: rgb: "#7e0000" 80070-80077 rgb_led_ws281x: bit: "0" 80077-80083 rgb_led_ws281x: bit: "0" @@ -10698,6 +11979,9 @@ 80200-80206 rgb_led_ws281x: bit: "0" 80206-80212 rgb_led_ws281x: bit: "0" 80212-80219 rgb_led_ws281x: bit: "0" +80070-80120 rgb_led_ws281x: g: "00" +80120-80169 rgb_led_ws281x: r: "fd" +80169-80219 rgb_led_ws281x: b: "00" 80070-80219 rgb_led_ws281x: rgb: "#fd0000" 80219-80225 rgb_led_ws281x: bit: "0" 80225-80231 rgb_led_ws281x: bit: "0" @@ -10723,6 +12007,9 @@ 80348-80354 rgb_led_ws281x: bit: "0" 80354-80360 rgb_led_ws281x: bit: "0" 80360-80367 rgb_led_ws281x: bit: "0" +80219-80268 rgb_led_ws281x: g: "00" +80268-80317 rgb_led_ws281x: r: "fb" +80317-80367 rgb_led_ws281x: b: "00" 80219-80367 rgb_led_ws281x: rgb: "#fb0000" 80367-80373 rgb_led_ws281x: bit: "0" 80373-80379 rgb_led_ws281x: bit: "0" @@ -10748,6 +12035,9 @@ 80496-80502 rgb_led_ws281x: bit: "0" 80502-80508 rgb_led_ws281x: bit: "0" 80508-80515 rgb_led_ws281x: bit: "0" +80367-80416 rgb_led_ws281x: g: "00" +80416-80465 rgb_led_ws281x: r: "ff" +80465-80515 rgb_led_ws281x: b: "00" 80367-80515 rgb_led_ws281x: rgb: "#ff0000" 80515-80521 rgb_led_ws281x: bit: "0" 80521-80527 rgb_led_ws281x: bit: "0" @@ -10773,6 +12063,9 @@ 80644-80650 rgb_led_ws281x: bit: "0" 80650-80656 rgb_led_ws281x: bit: "0" 80656-80663 rgb_led_ws281x: bit: "0" +80515-80564 rgb_led_ws281x: g: "00" +80564-80613 rgb_led_ws281x: r: "f7" +80613-80663 rgb_led_ws281x: b: "00" 80515-80663 rgb_led_ws281x: rgb: "#f70000" 80663-80669 rgb_led_ws281x: bit: "0" 80669-80675 rgb_led_ws281x: bit: "0" @@ -10798,6 +12091,9 @@ 80792-80798 rgb_led_ws281x: bit: "0" 80798-80804 rgb_led_ws281x: bit: "0" 80804-80811 rgb_led_ws281x: bit: "0" +80663-80712 rgb_led_ws281x: g: "00" +80712-80761 rgb_led_ws281x: r: "ef" +80761-80811 rgb_led_ws281x: b: "00" 80663-80811 rgb_led_ws281x: rgb: "#ef0000" 80811-80817 rgb_led_ws281x: bit: "0" 80817-80823 rgb_led_ws281x: bit: "0" @@ -10823,6 +12119,9 @@ 80940-80946 rgb_led_ws281x: bit: "0" 80946-80953 rgb_led_ws281x: bit: "0" 80953-80959 rgb_led_ws281x: bit: "0" +80811-80860 rgb_led_ws281x: g: "00" +80860-80910 rgb_led_ws281x: r: "df" +80910-80959 rgb_led_ws281x: b: "00" 80811-80959 rgb_led_ws281x: rgb: "#df0000" 80959-80965 rgb_led_ws281x: bit: "0" 80965-80971 rgb_led_ws281x: bit: "0" @@ -10848,6 +12147,9 @@ 81088-81095 rgb_led_ws281x: bit: "0" 81095-81101 rgb_led_ws281x: bit: "0" 81101-81107 rgb_led_ws281x: bit: "0" +80959-81008 rgb_led_ws281x: g: "00" +81008-81058 rgb_led_ws281x: r: "bf" +81058-81107 rgb_led_ws281x: b: "00" 80959-81107 rgb_led_ws281x: rgb: "#bf0000" 81107-81113 rgb_led_ws281x: bit: "0" 81113-81120 rgb_led_ws281x: bit: "0" @@ -10873,6 +12175,9 @@ 81237-81243 rgb_led_ws281x: bit: "0" 81243-81249 rgb_led_ws281x: bit: "0" 81249-81255 rgb_led_ws281x: bit: "0" +81107-81157 rgb_led_ws281x: g: "00" +81157-81206 rgb_led_ws281x: r: "7e" +81206-81255 rgb_led_ws281x: b: "00" 81107-81255 rgb_led_ws281x: rgb: "#7e0000" 81255-81262 rgb_led_ws281x: bit: "0" 81262-81268 rgb_led_ws281x: bit: "0" @@ -10898,6 +12203,9 @@ 81385-81391 rgb_led_ws281x: bit: "0" 81391-81397 rgb_led_ws281x: bit: "0" 81397-81404 rgb_led_ws281x: bit: "0" +81255-81305 rgb_led_ws281x: g: "00" +81305-81354 rgb_led_ws281x: r: "fd" +81354-81404 rgb_led_ws281x: b: "00" 81255-81404 rgb_led_ws281x: rgb: "#fd0000" 81404-81410 rgb_led_ws281x: bit: "0" 81410-81416 rgb_led_ws281x: bit: "0" @@ -10923,6 +12231,9 @@ 81533-81539 rgb_led_ws281x: bit: "0" 81539-81545 rgb_led_ws281x: bit: "0" 81545-81552 rgb_led_ws281x: bit: "0" +81404-81453 rgb_led_ws281x: g: "00" +81453-81502 rgb_led_ws281x: r: "fb" +81502-81552 rgb_led_ws281x: b: "00" 81404-81552 rgb_led_ws281x: rgb: "#fb0000" 81552-81558 rgb_led_ws281x: bit: "0" 81558-81564 rgb_led_ws281x: bit: "0" @@ -10948,6 +12259,9 @@ 81681-81687 rgb_led_ws281x: bit: "0" 81687-81693 rgb_led_ws281x: bit: "0" 81693-81700 rgb_led_ws281x: bit: "0" +81552-81601 rgb_led_ws281x: g: "00" +81601-81650 rgb_led_ws281x: r: "f7" +81650-81700 rgb_led_ws281x: b: "00" 81552-81700 rgb_led_ws281x: rgb: "#f70000" 81700-81706 rgb_led_ws281x: bit: "0" 81706-81712 rgb_led_ws281x: bit: "0" @@ -10973,6 +12287,9 @@ 81829-81835 rgb_led_ws281x: bit: "0" 81835-81841 rgb_led_ws281x: bit: "0" 81841-81848 rgb_led_ws281x: bit: "0" +81700-81749 rgb_led_ws281x: g: "00" +81749-81798 rgb_led_ws281x: r: "ff" +81798-81848 rgb_led_ws281x: b: "00" 81700-81848 rgb_led_ws281x: rgb: "#ff0000" 81848-81854 rgb_led_ws281x: bit: "0" 81854-81860 rgb_led_ws281x: bit: "0" @@ -10998,6 +12315,9 @@ 81977-81983 rgb_led_ws281x: bit: "0" 81983-81989 rgb_led_ws281x: bit: "0" 81989-81996 rgb_led_ws281x: bit: "0" +81848-81897 rgb_led_ws281x: g: "00" +81897-81946 rgb_led_ws281x: r: "ef" +81946-81996 rgb_led_ws281x: b: "00" 81848-81996 rgb_led_ws281x: rgb: "#ef0000" 81996-82002 rgb_led_ws281x: bit: "0" 82002-82008 rgb_led_ws281x: bit: "0" @@ -11023,6 +12343,9 @@ 82125-82131 rgb_led_ws281x: bit: "0" 82131-82138 rgb_led_ws281x: bit: "0" 82138-82144 rgb_led_ws281x: bit: "0" +81996-82045 rgb_led_ws281x: g: "00" +82045-82095 rgb_led_ws281x: r: "df" +82095-82144 rgb_led_ws281x: b: "00" 81996-82144 rgb_led_ws281x: rgb: "#df0000" 82144-82150 rgb_led_ws281x: bit: "0" 82150-82156 rgb_led_ws281x: bit: "0" @@ -11048,6 +12371,9 @@ 82273-82280 rgb_led_ws281x: bit: "0" 82280-82286 rgb_led_ws281x: bit: "0" 82286-82292 rgb_led_ws281x: bit: "0" +82144-82193 rgb_led_ws281x: g: "00" +82193-82243 rgb_led_ws281x: r: "bf" +82243-82292 rgb_led_ws281x: b: "00" 82144-82292 rgb_led_ws281x: rgb: "#bf0000" 82292-82298 rgb_led_ws281x: bit: "0" 82298-82305 rgb_led_ws281x: bit: "0" @@ -11073,6 +12399,9 @@ 82422-82428 rgb_led_ws281x: bit: "0" 82428-82434 rgb_led_ws281x: bit: "0" 82434-82440 rgb_led_ws281x: bit: "0" +82292-82342 rgb_led_ws281x: g: "00" +82342-82391 rgb_led_ws281x: r: "7e" +82391-82440 rgb_led_ws281x: b: "00" 82292-82440 rgb_led_ws281x: rgb: "#7e0000" 82440-82447 rgb_led_ws281x: bit: "0" 82447-82453 rgb_led_ws281x: bit: "0" @@ -11098,6 +12427,9 @@ 82570-82576 rgb_led_ws281x: bit: "0" 82576-82582 rgb_led_ws281x: bit: "0" 82582-82589 rgb_led_ws281x: bit: "0" +82440-82490 rgb_led_ws281x: g: "00" +82490-82539 rgb_led_ws281x: r: "fd" +82539-82589 rgb_led_ws281x: b: "00" 82440-82589 rgb_led_ws281x: rgb: "#fd0000" 82589-82595 rgb_led_ws281x: bit: "0" 82595-82601 rgb_led_ws281x: bit: "0" @@ -11123,6 +12455,9 @@ 82718-82724 rgb_led_ws281x: bit: "0" 82724-82730 rgb_led_ws281x: bit: "0" 82730-82737 rgb_led_ws281x: bit: "0" +82589-82638 rgb_led_ws281x: g: "00" +82638-82687 rgb_led_ws281x: r: "fb" +82687-82737 rgb_led_ws281x: b: "00" 82589-82737 rgb_led_ws281x: rgb: "#fb0000" 82737-82743 rgb_led_ws281x: bit: "0" 82743-82749 rgb_led_ws281x: bit: "0" @@ -11148,6 +12483,9 @@ 82866-82872 rgb_led_ws281x: bit: "0" 82872-82878 rgb_led_ws281x: bit: "0" 82878-82885 rgb_led_ws281x: bit: "0" +82737-82786 rgb_led_ws281x: g: "00" +82786-82835 rgb_led_ws281x: r: "f7" +82835-82885 rgb_led_ws281x: b: "00" 82737-82885 rgb_led_ws281x: rgb: "#f70000" 82885-82891 rgb_led_ws281x: bit: "0" 82891-82897 rgb_led_ws281x: bit: "0" @@ -11173,6 +12511,9 @@ 83014-83020 rgb_led_ws281x: bit: "0" 83020-83026 rgb_led_ws281x: bit: "0" 83026-83033 rgb_led_ws281x: bit: "0" +82885-82934 rgb_led_ws281x: g: "00" +82934-82983 rgb_led_ws281x: r: "ff" +82983-83033 rgb_led_ws281x: b: "00" 82885-83033 rgb_led_ws281x: rgb: "#ff0000" 83033-83039 rgb_led_ws281x: bit: "0" 83039-83045 rgb_led_ws281x: bit: "0" @@ -11198,6 +12539,9 @@ 83162-83168 rgb_led_ws281x: bit: "0" 83168-83174 rgb_led_ws281x: bit: "0" 83174-83181 rgb_led_ws281x: bit: "0" +83033-83082 rgb_led_ws281x: g: "00" +83082-83131 rgb_led_ws281x: r: "ff" +83131-83181 rgb_led_ws281x: b: "00" 83033-83181 rgb_led_ws281x: rgb: "#ff0000" 83181-83187 rgb_led_ws281x: bit: "0" 83187-83193 rgb_led_ws281x: bit: "0" @@ -11223,6 +12567,9 @@ 83310-83316 rgb_led_ws281x: bit: "0" 83316-83323 rgb_led_ws281x: bit: "0" 83323-83329 rgb_led_ws281x: bit: "0" +83181-83230 rgb_led_ws281x: g: "00" +83230-83280 rgb_led_ws281x: r: "df" +83280-83329 rgb_led_ws281x: b: "00" 83181-83329 rgb_led_ws281x: rgb: "#df0000" 83329-83335 rgb_led_ws281x: bit: "0" 83335-83342 rgb_led_ws281x: bit: "0" @@ -11248,6 +12595,9 @@ 83458-83465 rgb_led_ws281x: bit: "0" 83465-83471 rgb_led_ws281x: bit: "0" 83471-83477 rgb_led_ws281x: bit: "0" +83329-83378 rgb_led_ws281x: g: "00" +83378-83428 rgb_led_ws281x: r: "be" +83428-83477 rgb_led_ws281x: b: "00" 83329-83477 rgb_led_ws281x: rgb: "#be0000" 83477-83483 rgb_led_ws281x: bit: "0" 83483-83490 rgb_led_ws281x: bit: "0" @@ -11273,6 +12623,9 @@ 83607-83613 rgb_led_ws281x: bit: "0" 83613-83619 rgb_led_ws281x: bit: "0" 83619-83625 rgb_led_ws281x: bit: "0" +83477-83527 rgb_led_ws281x: g: "00" +83527-83576 rgb_led_ws281x: r: "7f" +83576-83625 rgb_led_ws281x: b: "00" 83477-83625 rgb_led_ws281x: rgb: "#7f0000" 83625-83632 rgb_led_ws281x: bit: "0" 83632-83638 rgb_led_ws281x: bit: "0" @@ -11298,6 +12651,9 @@ 83755-83761 rgb_led_ws281x: bit: "0" 83761-83767 rgb_led_ws281x: bit: "0" 83767-83774 rgb_led_ws281x: bit: "0" +83625-83675 rgb_led_ws281x: g: "00" +83675-83724 rgb_led_ws281x: r: "fd" +83724-83774 rgb_led_ws281x: b: "00" 83625-83774 rgb_led_ws281x: rgb: "#fd0000" 83774-83780 rgb_led_ws281x: bit: "0" 83780-83786 rgb_led_ws281x: bit: "0" @@ -11323,6 +12679,9 @@ 83903-83909 rgb_led_ws281x: bit: "0" 83909-83915 rgb_led_ws281x: bit: "0" 83915-83922 rgb_led_ws281x: bit: "0" +83774-83823 rgb_led_ws281x: g: "00" +83823-83872 rgb_led_ws281x: r: "fb" +83872-83922 rgb_led_ws281x: b: "00" 83774-83922 rgb_led_ws281x: rgb: "#fb0000" 83922-83928 rgb_led_ws281x: bit: "0" 83928-83934 rgb_led_ws281x: bit: "0" @@ -11348,6 +12707,9 @@ 84051-84057 rgb_led_ws281x: bit: "0" 84057-84063 rgb_led_ws281x: bit: "0" 84063-84070 rgb_led_ws281x: bit: "0" +83922-83971 rgb_led_ws281x: g: "00" +83971-84020 rgb_led_ws281x: r: "f7" +84020-84070 rgb_led_ws281x: b: "00" 83922-84070 rgb_led_ws281x: rgb: "#f70000" 84070-84076 rgb_led_ws281x: bit: "0" 84076-84082 rgb_led_ws281x: bit: "0" @@ -11373,6 +12735,9 @@ 84199-84205 rgb_led_ws281x: bit: "0" 84205-84211 rgb_led_ws281x: bit: "0" 84211-84218 rgb_led_ws281x: bit: "0" +84070-84119 rgb_led_ws281x: g: "00" +84119-84168 rgb_led_ws281x: r: "ef" +84168-84218 rgb_led_ws281x: b: "00" 84070-84218 rgb_led_ws281x: rgb: "#ef0000" 84218-84224 rgb_led_ws281x: bit: "0" 84224-84230 rgb_led_ws281x: bit: "0" @@ -11398,6 +12763,9 @@ 84347-84353 rgb_led_ws281x: bit: "0" 84353-84359 rgb_led_ws281x: bit: "0" 84359-84366 rgb_led_ws281x: bit: "0" +84218-84267 rgb_led_ws281x: g: "00" +84267-84316 rgb_led_ws281x: r: "ff" +84316-84366 rgb_led_ws281x: b: "00" 84218-84366 rgb_led_ws281x: rgb: "#ff0000" 84366-84372 rgb_led_ws281x: bit: "0" 84372-84378 rgb_led_ws281x: bit: "0" @@ -11423,6 +12791,9 @@ 84495-84501 rgb_led_ws281x: bit: "0" 84501-84508 rgb_led_ws281x: bit: "0" 84508-84514 rgb_led_ws281x: bit: "0" +84366-84415 rgb_led_ws281x: g: "00" +84415-84465 rgb_led_ws281x: r: "df" +84465-84514 rgb_led_ws281x: b: "00" 84366-84514 rgb_led_ws281x: rgb: "#df0000" 84514-84520 rgb_led_ws281x: bit: "0" 84520-84527 rgb_led_ws281x: bit: "0" @@ -11448,6 +12819,9 @@ 84643-84650 rgb_led_ws281x: bit: "0" 84650-84656 rgb_led_ws281x: bit: "0" 84656-84662 rgb_led_ws281x: bit: "0" +84514-84563 rgb_led_ws281x: g: "00" +84563-84613 rgb_led_ws281x: r: "be" +84613-84662 rgb_led_ws281x: b: "00" 84514-84662 rgb_led_ws281x: rgb: "#be0000" 84662-84668 rgb_led_ws281x: bit: "0" 84668-84675 rgb_led_ws281x: bit: "0" @@ -11473,6 +12847,9 @@ 84792-84798 rgb_led_ws281x: bit: "0" 84798-84804 rgb_led_ws281x: bit: "0" 84804-84810 rgb_led_ws281x: bit: "0" +84662-84712 rgb_led_ws281x: g: "00" +84712-84761 rgb_led_ws281x: r: "7f" +84761-84810 rgb_led_ws281x: b: "00" 84662-84810 rgb_led_ws281x: rgb: "#7f0000" 84810-84817 rgb_led_ws281x: bit: "0" 84817-84823 rgb_led_ws281x: bit: "0" @@ -11498,6 +12875,9 @@ 84940-84946 rgb_led_ws281x: bit: "0" 84946-84952 rgb_led_ws281x: bit: "0" 84952-84959 rgb_led_ws281x: bit: "0" +84810-84860 rgb_led_ws281x: g: "00" +84860-84909 rgb_led_ws281x: r: "ff" +84909-84959 rgb_led_ws281x: b: "00" 84810-84959 rgb_led_ws281x: rgb: "#ff0000" 84959-84965 rgb_led_ws281x: bit: "0" 84965-84971 rgb_led_ws281x: bit: "0" @@ -11523,6 +12903,9 @@ 85088-85094 rgb_led_ws281x: bit: "0" 85094-85100 rgb_led_ws281x: bit: "0" 85100-85107 rgb_led_ws281x: bit: "0" +84959-85008 rgb_led_ws281x: g: "00" +85008-85057 rgb_led_ws281x: r: "fb" +85057-85107 rgb_led_ws281x: b: "00" 84959-85107 rgb_led_ws281x: rgb: "#fb0000" 85107-85113 rgb_led_ws281x: bit: "0" 85113-85119 rgb_led_ws281x: bit: "0" @@ -11548,6 +12931,9 @@ 85236-85242 rgb_led_ws281x: bit: "0" 85242-85248 rgb_led_ws281x: bit: "0" 85248-85255 rgb_led_ws281x: bit: "0" +85107-85156 rgb_led_ws281x: g: "00" +85156-85205 rgb_led_ws281x: r: "f7" +85205-85255 rgb_led_ws281x: b: "00" 85107-85255 rgb_led_ws281x: rgb: "#f70000" 85255-85261 rgb_led_ws281x: bit: "0" 85261-85267 rgb_led_ws281x: bit: "0" @@ -11573,6 +12959,9 @@ 85384-85390 rgb_led_ws281x: bit: "0" 85390-85396 rgb_led_ws281x: bit: "0" 85396-85403 rgb_led_ws281x: bit: "0" +85255-85304 rgb_led_ws281x: g: "00" +85304-85353 rgb_led_ws281x: r: "ef" +85353-85403 rgb_led_ws281x: b: "00" 85255-85403 rgb_led_ws281x: rgb: "#ef0000" 85403-85409 rgb_led_ws281x: bit: "0" 85409-85415 rgb_led_ws281x: bit: "0" @@ -11598,6 +12987,9 @@ 85532-85538 rgb_led_ws281x: bit: "0" 85538-85545 rgb_led_ws281x: bit: "0" 85545-85551 rgb_led_ws281x: bit: "0" +85403-85452 rgb_led_ws281x: g: "00" +85452-85501 rgb_led_ws281x: r: "ff" +85501-85551 rgb_led_ws281x: b: "00" 85403-85551 rgb_led_ws281x: rgb: "#ff0000" 85551-85557 rgb_led_ws281x: bit: "0" 85557-85563 rgb_led_ws281x: bit: "0" @@ -11623,6 +13015,9 @@ 85680-85686 rgb_led_ws281x: bit: "0" 85686-85693 rgb_led_ws281x: bit: "0" 85693-85699 rgb_led_ws281x: bit: "0" +85551-85600 rgb_led_ws281x: g: "00" +85600-85650 rgb_led_ws281x: r: "ff" +85650-85699 rgb_led_ws281x: b: "00" 85551-85699 rgb_led_ws281x: rgb: "#ff0000" 85699-85705 rgb_led_ws281x: bit: "0" 85705-85712 rgb_led_ws281x: bit: "0" @@ -11648,6 +13043,9 @@ 85828-85835 rgb_led_ws281x: bit: "0" 85835-85841 rgb_led_ws281x: bit: "0" 85841-85847 rgb_led_ws281x: bit: "0" +85699-85748 rgb_led_ws281x: g: "00" +85748-85798 rgb_led_ws281x: r: "be" +85798-85847 rgb_led_ws281x: b: "00" 85699-85847 rgb_led_ws281x: rgb: "#be0000" 85847-85854 rgb_led_ws281x: bit: "0" 85854-85860 rgb_led_ws281x: bit: "0" @@ -11673,6 +13071,9 @@ 85977-85983 rgb_led_ws281x: bit: "0" 85983-85989 rgb_led_ws281x: bit: "0" 85989-85995 rgb_led_ws281x: bit: "0" +85847-85897 rgb_led_ws281x: g: "00" +85897-85946 rgb_led_ws281x: r: "7d" +85946-85995 rgb_led_ws281x: b: "00" 85847-85995 rgb_led_ws281x: rgb: "#7d0000" 85995-86002 rgb_led_ws281x: bit: "0" 86002-86008 rgb_led_ws281x: bit: "0" @@ -11698,6 +13099,9 @@ 86125-86131 rgb_led_ws281x: bit: "0" 86131-86137 rgb_led_ws281x: bit: "0" 86137-86144 rgb_led_ws281x: bit: "0" +85995-86045 rgb_led_ws281x: g: "00" +86045-86094 rgb_led_ws281x: r: "ff" +86094-86144 rgb_led_ws281x: b: "00" 85995-86144 rgb_led_ws281x: rgb: "#ff0000" 86144-86150 rgb_led_ws281x: bit: "0" 86150-86156 rgb_led_ws281x: bit: "0" @@ -11723,6 +13127,9 @@ 86273-86279 rgb_led_ws281x: bit: "0" 86279-86285 rgb_led_ws281x: bit: "0" 86285-86292 rgb_led_ws281x: bit: "0" +86144-86193 rgb_led_ws281x: g: "00" +86193-86242 rgb_led_ws281x: r: "fb" +86242-86292 rgb_led_ws281x: b: "00" 86144-86292 rgb_led_ws281x: rgb: "#fb0000" 86292-86298 rgb_led_ws281x: bit: "0" 86298-86304 rgb_led_ws281x: bit: "0" @@ -11748,6 +13155,9 @@ 86421-86427 rgb_led_ws281x: bit: "0" 86427-86433 rgb_led_ws281x: bit: "0" 86433-86440 rgb_led_ws281x: bit: "0" +86292-86341 rgb_led_ws281x: g: "00" +86341-86390 rgb_led_ws281x: r: "f7" +86390-86440 rgb_led_ws281x: b: "00" 86292-86440 rgb_led_ws281x: rgb: "#f70000" 86440-86446 rgb_led_ws281x: bit: "0" 86446-86452 rgb_led_ws281x: bit: "0" @@ -11773,6 +13183,9 @@ 86569-86575 rgb_led_ws281x: bit: "0" 86575-86581 rgb_led_ws281x: bit: "0" 86581-86588 rgb_led_ws281x: bit: "0" +86440-86489 rgb_led_ws281x: g: "00" +86489-86538 rgb_led_ws281x: r: "ef" +86538-86588 rgb_led_ws281x: b: "00" 86440-86588 rgb_led_ws281x: rgb: "#ef0000" 86588-86594 rgb_led_ws281x: bit: "0" 86594-86600 rgb_led_ws281x: bit: "0" @@ -11798,6 +13211,9 @@ 86717-86723 rgb_led_ws281x: bit: "0" 86723-86730 rgb_led_ws281x: bit: "0" 86730-86736 rgb_led_ws281x: bit: "0" +86588-86637 rgb_led_ws281x: g: "00" +86637-86686 rgb_led_ws281x: r: "df" +86686-86736 rgb_led_ws281x: b: "00" 86588-86736 rgb_led_ws281x: rgb: "#df0000" 86736-86742 rgb_led_ws281x: bit: "0" 86742-86748 rgb_led_ws281x: bit: "0" @@ -11823,6 +13239,9 @@ 86865-86871 rgb_led_ws281x: bit: "0" 86871-86878 rgb_led_ws281x: bit: "0" 86878-86884 rgb_led_ws281x: bit: "0" +86736-86785 rgb_led_ws281x: g: "00" +86785-86835 rgb_led_ws281x: r: "ff" +86835-86884 rgb_led_ws281x: b: "00" 86736-86884 rgb_led_ws281x: rgb: "#ff0000" 86884-86890 rgb_led_ws281x: bit: "0" 86890-86897 rgb_led_ws281x: bit: "0" @@ -11848,6 +13267,9 @@ 87013-87020 rgb_led_ws281x: bit: "0" 87020-87026 rgb_led_ws281x: bit: "0" 87026-87032 rgb_led_ws281x: bit: "0" +86884-86933 rgb_led_ws281x: g: "00" +86933-86983 rgb_led_ws281x: r: "be" +86983-87032 rgb_led_ws281x: b: "00" 86884-87032 rgb_led_ws281x: rgb: "#be0000" 87032-87039 rgb_led_ws281x: bit: "0" 87039-87045 rgb_led_ws281x: bit: "0" @@ -11873,6 +13295,9 @@ 87162-87168 rgb_led_ws281x: bit: "0" 87168-87174 rgb_led_ws281x: bit: "0" 87174-87180 rgb_led_ws281x: bit: "0" +87032-87082 rgb_led_ws281x: g: "00" +87082-87131 rgb_led_ws281x: r: "7d" +87131-87180 rgb_led_ws281x: b: "00" 87032-87180 rgb_led_ws281x: rgb: "#7d0000" 87180-87187 rgb_led_ws281x: bit: "0" 87187-87193 rgb_led_ws281x: bit: "0" @@ -11898,6 +13323,9 @@ 87310-87316 rgb_led_ws281x: bit: "0" 87316-87322 rgb_led_ws281x: bit: "0" 87322-87329 rgb_led_ws281x: bit: "0" +87180-87230 rgb_led_ws281x: g: "00" +87230-87279 rgb_led_ws281x: r: "ff" +87279-87329 rgb_led_ws281x: b: "00" 87180-87329 rgb_led_ws281x: rgb: "#ff0000" 87329-87335 rgb_led_ws281x: bit: "0" 87335-87341 rgb_led_ws281x: bit: "0" @@ -11923,6 +13351,9 @@ 87458-87464 rgb_led_ws281x: bit: "0" 87464-87470 rgb_led_ws281x: bit: "0" 87470-87477 rgb_led_ws281x: bit: "0" +87329-87378 rgb_led_ws281x: g: "00" +87378-87427 rgb_led_ws281x: r: "ff" +87427-87477 rgb_led_ws281x: b: "00" 87329-87477 rgb_led_ws281x: rgb: "#ff0000" 87477-87483 rgb_led_ws281x: bit: "0" 87483-87489 rgb_led_ws281x: bit: "0" @@ -11948,6 +13379,9 @@ 87606-87612 rgb_led_ws281x: bit: "0" 87612-87618 rgb_led_ws281x: bit: "0" 87618-87625 rgb_led_ws281x: bit: "0" +87477-87526 rgb_led_ws281x: g: "00" +87526-87575 rgb_led_ws281x: r: "f7" +87575-87625 rgb_led_ws281x: b: "00" 87477-87625 rgb_led_ws281x: rgb: "#f70000" 87625-87631 rgb_led_ws281x: bit: "0" 87631-87637 rgb_led_ws281x: bit: "0" @@ -11973,6 +13407,9 @@ 87754-87760 rgb_led_ws281x: bit: "0" 87760-87766 rgb_led_ws281x: bit: "0" 87766-87773 rgb_led_ws281x: bit: "0" +87625-87674 rgb_led_ws281x: g: "00" +87674-87723 rgb_led_ws281x: r: "ef" +87723-87773 rgb_led_ws281x: b: "00" 87625-87773 rgb_led_ws281x: rgb: "#ef0000" 87773-87779 rgb_led_ws281x: bit: "0" 87779-87785 rgb_led_ws281x: bit: "0" @@ -11998,6 +13435,9 @@ 87902-87908 rgb_led_ws281x: bit: "0" 87908-87915 rgb_led_ws281x: bit: "0" 87915-87921 rgb_led_ws281x: bit: "0" +87773-87822 rgb_led_ws281x: g: "00" +87822-87871 rgb_led_ws281x: r: "df" +87871-87921 rgb_led_ws281x: b: "00" 87773-87921 rgb_led_ws281x: rgb: "#df0000" 87921-87927 rgb_led_ws281x: bit: "0" 87927-87933 rgb_led_ws281x: bit: "0" @@ -12023,6 +13463,9 @@ 88050-88057 rgb_led_ws281x: bit: "0" 88057-88063 rgb_led_ws281x: bit: "0" 88063-88069 rgb_led_ws281x: bit: "0" +87921-87970 rgb_led_ws281x: g: "00" +87970-88020 rgb_led_ws281x: r: "bf" +88020-88069 rgb_led_ws281x: b: "00" 87921-88069 rgb_led_ws281x: rgb: "#bf0000" 88069-88075 rgb_led_ws281x: bit: "0" 88075-88082 rgb_led_ws281x: bit: "0" @@ -12048,6 +13491,9 @@ 88198-88205 rgb_led_ws281x: bit: "0" 88205-88211 rgb_led_ws281x: bit: "0" 88211-88217 rgb_led_ws281x: bit: "0" +88069-88118 rgb_led_ws281x: g: "00" +88118-88168 rgb_led_ws281x: r: "fe" +88168-88217 rgb_led_ws281x: b: "00" 88069-88217 rgb_led_ws281x: rgb: "#fe0000" 88217-88224 rgb_led_ws281x: bit: "0" 88224-88230 rgb_led_ws281x: bit: "0" @@ -12073,6 +13519,9 @@ 88347-88353 rgb_led_ws281x: bit: "0" 88353-88359 rgb_led_ws281x: bit: "0" 88359-88366 rgb_led_ws281x: bit: "0" +88217-88267 rgb_led_ws281x: g: "00" +88267-88316 rgb_led_ws281x: r: "7d" +88316-88366 rgb_led_ws281x: b: "00" 88217-88366 rgb_led_ws281x: rgb: "#7d0000" 88366-88372 rgb_led_ws281x: bit: "0" 88372-88378 rgb_led_ws281x: bit: "0" @@ -12098,6 +13547,9 @@ 88495-88501 rgb_led_ws281x: bit: "0" 88501-88507 rgb_led_ws281x: bit: "0" 88507-88514 rgb_led_ws281x: bit: "0" +88366-88415 rgb_led_ws281x: g: "00" +88415-88464 rgb_led_ws281x: r: "ff" +88464-88514 rgb_led_ws281x: b: "00" 88366-88514 rgb_led_ws281x: rgb: "#ff0000" 88514-88520 rgb_led_ws281x: bit: "0" 88520-88526 rgb_led_ws281x: bit: "0" @@ -12123,6 +13575,9 @@ 88643-88649 rgb_led_ws281x: bit: "0" 88649-88655 rgb_led_ws281x: bit: "0" 88655-88662 rgb_led_ws281x: bit: "0" +88514-88563 rgb_led_ws281x: g: "00" +88563-88612 rgb_led_ws281x: r: "ff" +88612-88662 rgb_led_ws281x: b: "00" 88514-88662 rgb_led_ws281x: rgb: "#ff0000" 88662-88668 rgb_led_ws281x: bit: "0" 88668-88674 rgb_led_ws281x: bit: "0" @@ -12148,6 +13603,9 @@ 88791-88797 rgb_led_ws281x: bit: "0" 88797-88803 rgb_led_ws281x: bit: "0" 88803-88810 rgb_led_ws281x: bit: "0" +88662-88711 rgb_led_ws281x: g: "00" +88711-88760 rgb_led_ws281x: r: "f7" +88760-88810 rgb_led_ws281x: b: "00" 88662-88810 rgb_led_ws281x: rgb: "#f70000" 88810-88816 rgb_led_ws281x: bit: "0" 88816-88822 rgb_led_ws281x: bit: "0" @@ -12173,6 +13631,9 @@ 88939-88945 rgb_led_ws281x: bit: "0" 88945-88951 rgb_led_ws281x: bit: "0" 88951-88958 rgb_led_ws281x: bit: "0" +88810-88859 rgb_led_ws281x: g: "00" +88859-88908 rgb_led_ws281x: r: "ef" +88908-88958 rgb_led_ws281x: b: "00" 88810-88958 rgb_led_ws281x: rgb: "#ef0000" 88958-88964 rgb_led_ws281x: bit: "0" 88964-88970 rgb_led_ws281x: bit: "0" @@ -12198,6 +13659,9 @@ 89087-89093 rgb_led_ws281x: bit: "0" 89093-89100 rgb_led_ws281x: bit: "0" 89100-89106 rgb_led_ws281x: bit: "0" +88958-89007 rgb_led_ws281x: g: "00" +89007-89056 rgb_led_ws281x: r: "df" +89056-89106 rgb_led_ws281x: b: "00" 88958-89106 rgb_led_ws281x: rgb: "#df0000" 89106-89112 rgb_led_ws281x: bit: "0" 89112-89118 rgb_led_ws281x: bit: "0" @@ -12223,6 +13687,9 @@ 89235-89242 rgb_led_ws281x: bit: "0" 89242-89248 rgb_led_ws281x: bit: "0" 89248-89254 rgb_led_ws281x: bit: "0" +89106-89155 rgb_led_ws281x: g: "00" +89155-89205 rgb_led_ws281x: r: "bf" +89205-89254 rgb_led_ws281x: b: "00" 89106-89254 rgb_led_ws281x: rgb: "#bf0000" 89254-89260 rgb_led_ws281x: bit: "0" 89260-89267 rgb_led_ws281x: bit: "0" @@ -12248,6 +13715,9 @@ 89383-89390 rgb_led_ws281x: bit: "0" 89390-89396 rgb_led_ws281x: bit: "0" 89396-89402 rgb_led_ws281x: bit: "0" +89254-89304 rgb_led_ws281x: g: "00" +89304-89353 rgb_led_ws281x: r: "7e" +89353-89402 rgb_led_ws281x: b: "00" 89254-89402 rgb_led_ws281x: rgb: "#7e0000" 89402-89409 rgb_led_ws281x: bit: "0" 89409-89415 rgb_led_ws281x: bit: "0" @@ -12273,6 +13743,9 @@ 89532-89538 rgb_led_ws281x: bit: "0" 89538-89544 rgb_led_ws281x: bit: "0" 89544-89551 rgb_led_ws281x: bit: "0" +89402-89452 rgb_led_ws281x: g: "00" +89452-89501 rgb_led_ws281x: r: "fd" +89501-89551 rgb_led_ws281x: b: "00" 89402-89551 rgb_led_ws281x: rgb: "#fd0000" 89551-89557 rgb_led_ws281x: bit: "0" 89557-89563 rgb_led_ws281x: bit: "0" @@ -12298,6 +13771,9 @@ 89680-89686 rgb_led_ws281x: bit: "0" 89686-89692 rgb_led_ws281x: bit: "0" 89692-89699 rgb_led_ws281x: bit: "0" +89551-89600 rgb_led_ws281x: g: "00" +89600-89649 rgb_led_ws281x: r: "ff" +89649-89699 rgb_led_ws281x: b: "00" 89551-89699 rgb_led_ws281x: rgb: "#ff0000" 89699-89705 rgb_led_ws281x: bit: "0" 89705-89711 rgb_led_ws281x: bit: "0" @@ -12323,6 +13799,9 @@ 89828-89834 rgb_led_ws281x: bit: "0" 89834-89840 rgb_led_ws281x: bit: "0" 89840-89847 rgb_led_ws281x: bit: "0" +89699-89748 rgb_led_ws281x: g: "00" +89748-89797 rgb_led_ws281x: r: "ff" +89797-89847 rgb_led_ws281x: b: "00" 89699-89847 rgb_led_ws281x: rgb: "#ff0000" 89847-89853 rgb_led_ws281x: bit: "0" 89853-89859 rgb_led_ws281x: bit: "0" @@ -12348,6 +13827,9 @@ 89976-89982 rgb_led_ws281x: bit: "0" 89982-89988 rgb_led_ws281x: bit: "0" 89988-89995 rgb_led_ws281x: bit: "0" +89847-89896 rgb_led_ws281x: g: "00" +89896-89945 rgb_led_ws281x: r: "f7" +89945-89995 rgb_led_ws281x: b: "00" 89847-89995 rgb_led_ws281x: rgb: "#f70000" 89995-90001 rgb_led_ws281x: bit: "0" 90001-90007 rgb_led_ws281x: bit: "0" @@ -12373,6 +13855,9 @@ 90124-90130 rgb_led_ws281x: bit: "0" 90130-90136 rgb_led_ws281x: bit: "0" 90136-90143 rgb_led_ws281x: bit: "0" +89995-90044 rgb_led_ws281x: g: "00" +90044-90093 rgb_led_ws281x: r: "ef" +90093-90143 rgb_led_ws281x: b: "00" 89995-90143 rgb_led_ws281x: rgb: "#ef0000" 90143-90149 rgb_led_ws281x: bit: "0" 90149-90155 rgb_led_ws281x: bit: "0" @@ -12398,6 +13883,9 @@ 90272-90278 rgb_led_ws281x: bit: "0" 90278-90285 rgb_led_ws281x: bit: "0" 90285-90291 rgb_led_ws281x: bit: "0" +90143-90192 rgb_led_ws281x: g: "00" +90192-90241 rgb_led_ws281x: r: "df" +90241-90291 rgb_led_ws281x: b: "00" 90143-90291 rgb_led_ws281x: rgb: "#df0000" 90291-90297 rgb_led_ws281x: bit: "0" 90297-90303 rgb_led_ws281x: bit: "0" @@ -12423,6 +13911,9 @@ 90420-90427 rgb_led_ws281x: bit: "0" 90427-90433 rgb_led_ws281x: bit: "0" 90433-90439 rgb_led_ws281x: bit: "0" +90291-90340 rgb_led_ws281x: g: "00" +90340-90390 rgb_led_ws281x: r: "bf" +90390-90439 rgb_led_ws281x: b: "00" 90291-90439 rgb_led_ws281x: rgb: "#bf0000" 90439-90445 rgb_led_ws281x: bit: "0" 90445-90452 rgb_led_ws281x: bit: "0" @@ -12448,6 +13939,9 @@ 90568-90575 rgb_led_ws281x: bit: "0" 90575-90581 rgb_led_ws281x: bit: "0" 90581-90587 rgb_led_ws281x: bit: "0" +90439-90489 rgb_led_ws281x: g: "00" +90489-90538 rgb_led_ws281x: r: "7e" +90538-90587 rgb_led_ws281x: b: "00" 90439-90587 rgb_led_ws281x: rgb: "#7e0000" 90587-90594 rgb_led_ws281x: bit: "0" 90594-90600 rgb_led_ws281x: bit: "0" @@ -12473,6 +13967,9 @@ 90717-90723 rgb_led_ws281x: bit: "0" 90723-90729 rgb_led_ws281x: bit: "0" 90729-90736 rgb_led_ws281x: bit: "0" +90587-90637 rgb_led_ws281x: g: "00" +90637-90686 rgb_led_ws281x: r: "fd" +90686-90736 rgb_led_ws281x: b: "00" 90587-90736 rgb_led_ws281x: rgb: "#fd0000" 90736-90742 rgb_led_ws281x: bit: "0" 90742-90748 rgb_led_ws281x: bit: "0" @@ -12498,6 +13995,9 @@ 90865-90871 rgb_led_ws281x: bit: "0" 90871-90877 rgb_led_ws281x: bit: "0" 90877-90884 rgb_led_ws281x: bit: "0" +90736-90785 rgb_led_ws281x: g: "00" +90785-90834 rgb_led_ws281x: r: "fb" +90834-90884 rgb_led_ws281x: b: "00" 90736-90884 rgb_led_ws281x: rgb: "#fb0000" 90884-90890 rgb_led_ws281x: bit: "0" 90890-90896 rgb_led_ws281x: bit: "0" @@ -12523,6 +14023,9 @@ 91013-91019 rgb_led_ws281x: bit: "0" 91019-91025 rgb_led_ws281x: bit: "0" 91025-91032 rgb_led_ws281x: bit: "0" +90884-90933 rgb_led_ws281x: g: "00" +90933-90982 rgb_led_ws281x: r: "f7" +90982-91032 rgb_led_ws281x: b: "00" 90884-91032 rgb_led_ws281x: rgb: "#f70000" 91032-91038 rgb_led_ws281x: bit: "0" 91038-91044 rgb_led_ws281x: bit: "0" @@ -12548,6 +14051,9 @@ 91161-91167 rgb_led_ws281x: bit: "0" 91167-91173 rgb_led_ws281x: bit: "0" 91173-91180 rgb_led_ws281x: bit: "0" +91032-91081 rgb_led_ws281x: g: "00" +91081-91130 rgb_led_ws281x: r: "ff" +91130-91180 rgb_led_ws281x: b: "00" 91032-91180 rgb_led_ws281x: rgb: "#ff0000" 91180-91186 rgb_led_ws281x: bit: "0" 91186-91192 rgb_led_ws281x: bit: "0" @@ -12573,6 +14079,9 @@ 91309-91315 rgb_led_ws281x: bit: "0" 91315-91321 rgb_led_ws281x: bit: "0" 91321-91328 rgb_led_ws281x: bit: "0" +91180-91229 rgb_led_ws281x: g: "00" +91229-91278 rgb_led_ws281x: r: "ef" +91278-91328 rgb_led_ws281x: b: "00" 91180-91328 rgb_led_ws281x: rgb: "#ef0000" 91328-91334 rgb_led_ws281x: bit: "0" 91334-91340 rgb_led_ws281x: bit: "0" @@ -12598,6 +14107,9 @@ 91457-91463 rgb_led_ws281x: bit: "0" 91463-91470 rgb_led_ws281x: bit: "0" 91470-91476 rgb_led_ws281x: bit: "0" +91328-91377 rgb_led_ws281x: g: "00" +91377-91427 rgb_led_ws281x: r: "df" +91427-91476 rgb_led_ws281x: b: "00" 91328-91476 rgb_led_ws281x: rgb: "#df0000" 91476-91482 rgb_led_ws281x: bit: "0" 91482-91488 rgb_led_ws281x: bit: "0" @@ -12623,6 +14135,9 @@ 91605-91612 rgb_led_ws281x: bit: "0" 91612-91618 rgb_led_ws281x: bit: "0" 91618-91624 rgb_led_ws281x: bit: "0" +91476-91525 rgb_led_ws281x: g: "00" +91525-91575 rgb_led_ws281x: r: "bf" +91575-91624 rgb_led_ws281x: b: "00" 91476-91624 rgb_led_ws281x: rgb: "#bf0000" 91624-91630 rgb_led_ws281x: bit: "0" 91630-91637 rgb_led_ws281x: bit: "0" @@ -12648,6 +14163,9 @@ 91754-91760 rgb_led_ws281x: bit: "0" 91760-91766 rgb_led_ws281x: bit: "0" 91766-91772 rgb_led_ws281x: bit: "0" +91624-91674 rgb_led_ws281x: g: "00" +91674-91723 rgb_led_ws281x: r: "7e" +91723-91772 rgb_led_ws281x: b: "00" 91624-91772 rgb_led_ws281x: rgb: "#7e0000" 91772-91779 rgb_led_ws281x: bit: "0" 91779-91785 rgb_led_ws281x: bit: "0" @@ -12673,6 +14191,9 @@ 91902-91908 rgb_led_ws281x: bit: "0" 91908-91914 rgb_led_ws281x: bit: "0" 91914-91921 rgb_led_ws281x: bit: "0" +91772-91822 rgb_led_ws281x: g: "00" +91822-91871 rgb_led_ws281x: r: "fd" +91871-91921 rgb_led_ws281x: b: "00" 91772-91921 rgb_led_ws281x: rgb: "#fd0000" 91921-91927 rgb_led_ws281x: bit: "0" 91927-91933 rgb_led_ws281x: bit: "0" @@ -12698,6 +14219,9 @@ 92050-92056 rgb_led_ws281x: bit: "0" 92056-92062 rgb_led_ws281x: bit: "0" 92062-92069 rgb_led_ws281x: bit: "0" +91921-91970 rgb_led_ws281x: g: "00" +91970-92019 rgb_led_ws281x: r: "fb" +92019-92069 rgb_led_ws281x: b: "00" 91921-92069 rgb_led_ws281x: rgb: "#fb0000" 92069-92075 rgb_led_ws281x: bit: "0" 92075-92081 rgb_led_ws281x: bit: "0" @@ -12723,6 +14247,9 @@ 92198-92204 rgb_led_ws281x: bit: "0" 92204-92210 rgb_led_ws281x: bit: "0" 92210-92217 rgb_led_ws281x: bit: "0" +92069-92118 rgb_led_ws281x: g: "00" +92118-92167 rgb_led_ws281x: r: "f7" +92167-92217 rgb_led_ws281x: b: "00" 92069-92217 rgb_led_ws281x: rgb: "#f70000" 92217-92223 rgb_led_ws281x: bit: "0" 92223-92229 rgb_led_ws281x: bit: "0" @@ -12747,6 +14274,9 @@ 92340-92346 rgb_led_ws281x: bit: "0" 92346-92352 rgb_led_ws281x: bit: "0" 92352-92358 rgb_led_ws281x: bit: "0" +92217-92266 rgb_led_ws281x: g: "00" +92266-92315 rgb_led_ws281x: r: "ff" +92315-92360 rgb_led_ws281x: b: "00" 92217-92360 rgb_led_ws281x: rgb: "#ff0000" 92358-92360 rgb_led_ws281x: bit: "0" 92360-92611 rgb_led_ws281x: reset: "RESET" "RST" "R" diff --git a/decoder/test/rgb_led_ws281x/ws281x_RGBW_4mhz_snippet.output b/decoder/test/rgb_led_ws281x/ws281x_RGBW_4mhz_snippet.output index 58c6ac2..99de53e 100644 --- a/decoder/test/rgb_led_ws281x/ws281x_RGBW_4mhz_snippet.output +++ b/decoder/test/rgb_led_ws281x/ws281x_RGBW_4mhz_snippet.output @@ -30,6 +30,10 @@ 1506-1511 rgb_led_ws281x: bit: "0" 1511-1516 rgb_led_ws281x: bit: "0" 1516-1521 rgb_led_ws281x: bit: "0" +1355-1397 rgb_led_ws281x: r: "00" +1397-1438 rgb_led_ws281x: w: "00" +1438-1480 rgb_led_ws281x: g: "00" +1480-1521 rgb_led_ws281x: b: "00" 1355-1521 rgb_led_ws281x: rgb: "#00000000" 1521-1526 rgb_led_ws281x: bit: "0" 1526-1532 rgb_led_ws281x: bit: "0" @@ -63,6 +67,10 @@ 1672-1677 rgb_led_ws281x: bit: "0" 1677-1682 rgb_led_ws281x: bit: "0" 1682-1687 rgb_led_ws281x: bit: "0" +1521-1563 rgb_led_ws281x: r: "3f" +1563-1604 rgb_led_ws281x: w: "00" +1604-1646 rgb_led_ws281x: g: "00" +1646-1687 rgb_led_ws281x: b: "00" 1521-1687 rgb_led_ws281x: rgb: "#3f000000" 1687-1692 rgb_led_ws281x: bit: "0" 1692-1698 rgb_led_ws281x: bit: "1" @@ -96,6 +104,10 @@ 1838-1843 rgb_led_ws281x: bit: "0" 1843-1848 rgb_led_ws281x: bit: "0" 1848-1853 rgb_led_ws281x: bit: "0" +1687-1728 rgb_led_ws281x: r: "7f" +1728-1770 rgb_led_ws281x: w: "00" +1770-1812 rgb_led_ws281x: g: "00" +1812-1853 rgb_led_ws281x: b: "00" 1687-1853 rgb_led_ws281x: rgb: "#7f000000" 1853-1858 rgb_led_ws281x: bit: "1" 1858-1863 rgb_led_ws281x: bit: "1" @@ -128,6 +140,10 @@ 1998-2003 rgb_led_ws281x: bit: "0" 2003-2009 rgb_led_ws281x: bit: "0" 2009-2014 rgb_led_ws281x: bit: "0" +1853-1894 rgb_led_ws281x: r: "ff" +1894-1936 rgb_led_ws281x: w: "00" +1936-1977 rgb_led_ws281x: g: "00" +1977-2016 rgb_led_ws281x: b: "00" 1853-2016 rgb_led_ws281x: rgb: "#ff000000" 2014-2016 rgb_led_ws281x: bit: "0" 2016-2217 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -163,6 +179,10 @@ 6255-6261 rgb_led_ws281x: bit: "0" 6261-6266 rgb_led_ws281x: bit: "0" 6266-6271 rgb_led_ws281x: bit: "0" +6105-6146 rgb_led_ws281x: r: "00" +6146-6188 rgb_led_ws281x: w: "00" +6188-6229 rgb_led_ws281x: g: "00" +6229-6271 rgb_led_ws281x: b: "00" 6105-6271 rgb_led_ws281x: rgb: "#00000000" 6271-6276 rgb_led_ws281x: bit: "0" 6276-6281 rgb_led_ws281x: bit: "0" @@ -196,6 +216,10 @@ 6421-6426 rgb_led_ws281x: bit: "0" 6426-6432 rgb_led_ws281x: bit: "0" 6432-6437 rgb_led_ws281x: bit: "0" +6271-6312 rgb_led_ws281x: r: "00" +6312-6354 rgb_led_ws281x: w: "00" +6354-6395 rgb_led_ws281x: g: "3f" +6395-6437 rgb_led_ws281x: b: "00" 6271-6437 rgb_led_ws281x: rgb: "#003f0000" 6437-6442 rgb_led_ws281x: bit: "0" 6442-6447 rgb_led_ws281x: bit: "0" @@ -229,6 +253,10 @@ 6587-6592 rgb_led_ws281x: bit: "0" 6592-6598 rgb_led_ws281x: bit: "0" 6598-6603 rgb_led_ws281x: bit: "0" +6437-6478 rgb_led_ws281x: r: "00" +6478-6520 rgb_led_ws281x: w: "00" +6520-6561 rgb_led_ws281x: g: "7f" +6561-6603 rgb_led_ws281x: b: "00" 6437-6603 rgb_led_ws281x: rgb: "#007f0000" 6603-6608 rgb_led_ws281x: bit: "0" 6608-6613 rgb_led_ws281x: bit: "0" @@ -261,6 +289,10 @@ 6748-6753 rgb_led_ws281x: bit: "0" 6753-6758 rgb_led_ws281x: bit: "0" 6758-6763 rgb_led_ws281x: bit: "0" +6603-6644 rgb_led_ws281x: r: "00" +6644-6686 rgb_led_ws281x: w: "00" +6686-6727 rgb_led_ws281x: g: "ff" +6727-6765 rgb_led_ws281x: b: "00" 6603-6765 rgb_led_ws281x: rgb: "#00ff0000" 6763-6765 rgb_led_ws281x: bit: "0" 6765-6966 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -296,6 +328,10 @@ 11007-11012 rgb_led_ws281x: bit: "0" 11012-11017 rgb_led_ws281x: bit: "0" 11017-11022 rgb_led_ws281x: bit: "0" +10856-10897 rgb_led_ws281x: r: "00" +10897-10939 rgb_led_ws281x: w: "00" +10939-10981 rgb_led_ws281x: g: "00" +10981-11022 rgb_led_ws281x: b: "00" 10856-11022 rgb_led_ws281x: rgb: "#00000000" 11022-11027 rgb_led_ws281x: bit: "0" 11027-11033 rgb_led_ws281x: bit: "0" @@ -329,6 +365,10 @@ 11173-11178 rgb_led_ws281x: bit: "1" 11178-11183 rgb_led_ws281x: bit: "1" 11183-11188 rgb_led_ws281x: bit: "1" +11022-11064 rgb_led_ws281x: r: "00" +11064-11105 rgb_led_ws281x: w: "00" +11105-11147 rgb_led_ws281x: g: "00" +11147-11188 rgb_led_ws281x: b: "3f" 11022-11188 rgb_led_ws281x: rgb: "#0000003f" 11188-11193 rgb_led_ws281x: bit: "0" 11193-11199 rgb_led_ws281x: bit: "0" @@ -362,6 +402,10 @@ 11339-11344 rgb_led_ws281x: bit: "1" 11344-11349 rgb_led_ws281x: bit: "1" 11349-11354 rgb_led_ws281x: bit: "1" +11188-11230 rgb_led_ws281x: r: "00" +11230-11271 rgb_led_ws281x: w: "00" +11271-11313 rgb_led_ws281x: g: "00" +11313-11354 rgb_led_ws281x: b: "7f" 11188-11354 rgb_led_ws281x: rgb: "#0000007f" 11354-11359 rgb_led_ws281x: bit: "0" 11359-11364 rgb_led_ws281x: bit: "0" @@ -394,6 +438,10 @@ 11499-11504 rgb_led_ws281x: bit: "1" 11504-11510 rgb_led_ws281x: bit: "1" 11510-11515 rgb_led_ws281x: bit: "1" +11354-11396 rgb_led_ws281x: r: "00" +11396-11437 rgb_led_ws281x: w: "00" +11437-11479 rgb_led_ws281x: g: "00" +11479-11518 rgb_led_ws281x: b: "ff" 11354-11518 rgb_led_ws281x: rgb: "#000000ff" 11515-11518 rgb_led_ws281x: bit: "1" 11518-11719 rgb_led_ws281x: reset: "RESET" "RST" "R" @@ -429,6 +477,10 @@ 15785-15790 rgb_led_ws281x: bit: "0" 15790-15795 rgb_led_ws281x: bit: "0" 15795-15801 rgb_led_ws281x: bit: "0" +15634-15676 rgb_led_ws281x: r: "00" +15676-15717 rgb_led_ws281x: w: "00" +15717-15759 rgb_led_ws281x: g: "00" +15759-15801 rgb_led_ws281x: b: "00" 15634-15801 rgb_led_ws281x: rgb: "#00000000" 15801-15806 rgb_led_ws281x: bit: "0" 15806-15811 rgb_led_ws281x: bit: "0" @@ -462,6 +514,10 @@ 15951-15956 rgb_led_ws281x: bit: "0" 15956-15961 rgb_led_ws281x: bit: "0" 15961-15967 rgb_led_ws281x: bit: "0" +15801-15842 rgb_led_ws281x: r: "00" +15842-15883 rgb_led_ws281x: w: "3f" +15883-15925 rgb_led_ws281x: g: "00" +15925-15967 rgb_led_ws281x: b: "00" 15801-15967 rgb_led_ws281x: rgb: "#00003f00" 15967-15972 rgb_led_ws281x: bit: "0" 15972-15977 rgb_led_ws281x: bit: "0" @@ -495,6 +551,10 @@ 16117-16122 rgb_led_ws281x: bit: "0" 16122-16127 rgb_led_ws281x: bit: "0" 16127-16132 rgb_led_ws281x: bit: "0" +15967-16008 rgb_led_ws281x: r: "00" +16008-16049 rgb_led_ws281x: w: "7f" +16049-16091 rgb_led_ws281x: g: "00" +16091-16132 rgb_led_ws281x: b: "00" 15967-16132 rgb_led_ws281x: rgb: "#00007f00" 16132-16138 rgb_led_ws281x: bit: "0" 16138-16143 rgb_led_ws281x: bit: "0" @@ -527,6 +587,10 @@ 16278-16283 rgb_led_ws281x: bit: "0" 16283-16288 rgb_led_ws281x: bit: "0" 16288-16293 rgb_led_ws281x: bit: "0" +16132-16174 rgb_led_ws281x: r: "00" +16174-16215 rgb_led_ws281x: w: "ff" +16215-16257 rgb_led_ws281x: g: "00" +16257-16295 rgb_led_ws281x: b: "00" 16132-16295 rgb_led_ws281x: rgb: "#0000ff00" 16293-16295 rgb_led_ws281x: bit: "0" 16295-16496 rgb_led_ws281x: reset: "RESET" "RST" "R"