]> sigrok.org Git - sigrok-test.git/commit
ds1307: Add an additional test-case.
authorUwe Hermann <redacted>
Tue, 12 Feb 2019 17:11:23 +0000 (18:11 +0100)
committerUwe Hermann <redacted>
Tue, 12 Feb 2019 17:11:23 +0000 (18:11 +0100)
commit82bf429d4ef64bf946e684659644630ce7e1b6fe
treea3c8a995e676b2c173cbcc72451b51d9d294259e
parentc1f41055fd473945893bdc1144404fac85c1fa06
ds1307: Add an additional test-case.
decoder/test/ds1307/rtc_ds1307_500khz_sqw32khz_mode12h_pm.output [new file with mode: 0644]
decoder/test/ds1307/test.conf