4998-5098 can: bit: "0" 4998-5098 can: sof: "Start of frame" "SOF" "S" 5098-5198 can: bit: "0" 5198-5298 can: bit: "0" 5298-5398 can: bit: "0" 5398-5498 can: bit: "0" 5498-5598 can: stuff-bit: "1" 5598-5698 can: bit: "0" 5698-5798 can: bit: "0" 5798-5898 can: bit: "0" 5898-5998 can: bit: "0" 5998-6098 can: bit: "0" 6098-6198 can: stuff-bit: "1" 6198-6298 can: bit: "0" 6298-6398 can: bit: "0" 5098-6398 can: id: "Identifier: 0 (0x0)" "ID: 0 (0x0)" "ID" 6398-6498 can: bit: "1" 6498-6598 can: bit: "1" 6498-6598 can: ide: "Identifier extension bit: extended frame" "IDE: extended frame" "IDE" 6598-6698 can: bit: "0" 6698-6798 can: bit: "0" 6798-6898 can: bit: "0" 6898-6998 can: bit: "0" 6998-7098 can: bit: "0" 7098-7198 can: stuff-bit: "1" 7198-7298 can: bit: "0" 7298-7398 can: bit: "0" 7398-7498 can: bit: "0" 7498-7598 can: bit: "0" 7598-7698 can: bit: "0" 7698-7798 can: stuff-bit: "1" 7798-7898 can: bit: "0" 7898-7998 can: bit: "1" 7998-8098 can: bit: "0" 8098-8198 can: bit: "0" 8198-8298 can: bit: "0" 8298-8398 can: bit: "0" 8398-8498 can: bit: "1" 8499-8599 can: bit: "0" 6598-8599 can: ext-id: "Extended Identifier: 66 (0x42)" "Extended ID: 66 (0x42)" "Extended ID" "EID" 6598-8599 can: full-id: "Full Identifier: 66 (0x42)" "Full ID: 66 (0x42)" "Full ID" "FID" 6398-6498 can: srr: "Substitute remote request: 1" "SRR: 1" "SRR" 8599-8699 can: bit: "0" 8599-8699 can: rtr: "Remote transmission request: data frame" "RTR: data frame" "RTR" 8699-8799 can: bit: "1" 8699-8799 can: reserved-bit: "Flexible data format: 1" "FDF: 1" "FDF" 8599-8699 can: reserved-bit: "Reserved bit 1: 0" "RB1: 0" "RB1" 8799-8899 can: bit: "0" 8799-8899 can: reserved-bit: "Reserved bit 0: 0" "RB0: 0" "RB0" 8934-8984 can: bit: "1" 8934-8984 can: reserved-bit: "Bit rate switch: 1" "BRS: 1" "BRS" 8984-9034 can: bit: "0" 8984-9034 can: reserved-bit: "Error state indicator: 0" "ESI: 0" "ESI" 9034-9084 can: bit: "1" 9084-9134 can: bit: "1" 9134-9184 can: bit: "1" 9184-9234 can: bit: "1" 9034-9234 can: dlc: "Data length code: 15" "DLC: 15" "DLC" 9234-9284 can: bit: "0" 9284-9334 can: bit: "0" 9334-9384 can: bit: "0" 9384-9434 can: bit: "0" 9434-9484 can: bit: "0" 9484-9534 can: stuff-bit: "1" 9534-9584 can: bit: "0" 9584-9634 can: bit: "0" 9634-9684 can: bit: "0" 9684-9734 can: bit: "0" 9734-9784 can: bit: "0" 9784-9834 can: stuff-bit: "1" 9834-9884 can: bit: "0" 9884-9934 can: bit: "0" 9934-9984 can: bit: "0" 9984-10034 can: bit: "0" 10034-10084 can: bit: "0" 10084-10134 can: stuff-bit: "1" 10134-10184 can: bit: "1" 10184-10234 can: bit: "0" 10234-10284 can: bit: "0" 10284-10334 can: bit: "0" 10334-10384 can: bit: "0" 10384-10434 can: bit: "0" 10434-10484 can: stuff-bit: "1" 10484-10534 can: bit: "0" 10534-10584 can: bit: "1" 10584-10634 can: bit: "0" 10634-10684 can: bit: "0" 10684-10734 can: bit: "0" 10734-10784 can: bit: "0" 10784-10834 can: bit: "0" 10834-10884 can: stuff-bit: "1" 10884-10934 can: bit: "0" 10934-10984 can: bit: "0" 10984-11034 can: bit: "1" 11034-11084 can: bit: "1" 11084-11134 can: bit: "0" 11134-11184 can: bit: "0" 11184-11234 can: bit: "0" 11234-11284 can: bit: "0" 11284-11334 can: bit: "0" 11334-11384 can: stuff-bit: "1" 11384-11434 can: bit: "1" 11434-11484 can: bit: "0" 11484-11534 can: bit: "0" 11534-11584 can: bit: "0" 11584-11634 can: bit: "0" 11634-11684 can: bit: "0" 11684-11734 can: stuff-bit: "1" 11734-11784 can: bit: "0" 11784-11834 can: bit: "0" 11834-11884 can: bit: "1" 11884-11934 can: bit: "0" 11934-11984 can: bit: "1" 11984-12034 can: bit: "0" 12034-12084 can: bit: "0" 12084-12134 can: bit: "0" 12134-12184 can: bit: "0" 12184-12234 can: bit: "0" 12234-12284 can: stuff-bit: "1" 12284-12334 can: bit: "1" 12334-12384 can: bit: "1" 12384-12434 can: bit: "0" 12434-12484 can: bit: "0" 12484-12534 can: bit: "0" 12534-12584 can: bit: "0" 12584-12634 can: bit: "0" 12634-12684 can: stuff-bit: "1" 12684-12734 can: bit: "0" 12734-12784 can: bit: "1" 12784-12834 can: bit: "1" 12834-12884 can: bit: "1" 12884-12934 can: bit: "0" 12934-12984 can: bit: "0" 12984-13034 can: bit: "0" 13034-13084 can: bit: "0" 13084-13134 can: bit: "1" 13134-13184 can: bit: "0" 13184-13234 can: bit: "0" 13234-13284 can: bit: "0" 13284-13334 can: bit: "0" 13334-13384 can: bit: "0" 13384-13434 can: stuff-bit: "1" 13434-13484 can: bit: "0" 13484-13534 can: bit: "0" 13534-13584 can: bit: "1" 13584-13634 can: bit: "0" 13634-13684 can: bit: "0" 13684-13734 can: bit: "1" 13734-13784 can: bit: "0" 13784-13834 can: bit: "0" 13834-13884 can: bit: "0" 13884-13934 can: bit: "0" 13934-13984 can: bit: "1" 13984-14034 can: bit: "0" 14034-14084 can: bit: "1" 14084-14134 can: bit: "0" 14134-14184 can: bit: "0" 14184-14234 can: bit: "0" 14234-14284 can: bit: "0" 14284-14334 can: bit: "0" 14334-14384 can: stuff-bit: "1" 14384-14434 can: bit: "1" 14434-14484 can: bit: "0" 14484-14534 can: bit: "1" 14534-14584 can: bit: "1" 14584-14634 can: bit: "0" 14634-14684 can: bit: "0" 14684-14734 can: bit: "0" 14734-14784 can: bit: "0" 14784-14834 can: bit: "1" 14834-14884 can: bit: "1" 14884-14934 can: bit: "0" 14934-14984 can: bit: "0" 14984-15034 can: bit: "0" 15034-15084 can: bit: "0" 15084-15134 can: bit: "0" 15134-15184 can: stuff-bit: "1" 15184-15234 can: bit: "0" 15234-15284 can: bit: "1" 15284-15334 can: bit: "1" 15334-15384 can: bit: "0" 15384-15434 can: bit: "1" 15434-15484 can: bit: "0" 15484-15534 can: bit: "0" 15534-15584 can: bit: "0" 15584-15634 can: bit: "0" 15634-15684 can: bit: "1" 15684-15734 can: bit: "1" 15734-15784 can: bit: "1" 15785-15835 can: bit: "0" 15835-15885 can: bit: "0" 15885-15935 can: bit: "0" 15935-15985 can: bit: "0" 15985-16035 can: bit: "0" 16035-16085 can: stuff-bit: "1" 16085-16135 can: bit: "1" 16135-16185 can: bit: "1" 16185-16235 can: bit: "1" 16235-16285 can: bit: "1" 16285-16335 can: stuff-bit: "0" 16335-16385 can: bit: "0" 16385-16435 can: bit: "0" 16435-16485 can: bit: "0" 16485-16535 can: bit: "1" 16535-16585 can: bit: "0" 16585-16635 can: bit: "0" 16635-16685 can: bit: "0" 16685-16735 can: bit: "0" 16735-16785 can: bit: "0" 16785-16835 can: stuff-bit: "1" 16835-16885 can: bit: "0" 16885-16935 can: bit: "0" 16935-16985 can: bit: "1" 16985-17035 can: bit: "0" 17035-17085 can: bit: "0" 17085-17135 can: bit: "0" 17135-17185 can: bit: "1" 17185-17235 can: bit: "0" 17235-17285 can: bit: "0" 17285-17335 can: bit: "0" 17335-17385 can: bit: "1" 17385-17435 can: bit: "0" 17435-17485 can: bit: "0" 17485-17535 can: bit: "1" 17535-17585 can: bit: "0" 17585-17635 can: bit: "0" 17635-17685 can: bit: "0" 17685-17735 can: bit: "0" 17735-17785 can: bit: "1" 17785-17835 can: bit: "0" 17835-17885 can: bit: "0" 17885-17935 can: bit: "1" 17935-17985 can: bit: "1" 17985-18035 can: bit: "0" 18035-18085 can: bit: "0" 18085-18135 can: bit: "0" 18135-18185 can: bit: "1" 18185-18235 can: bit: "0" 18235-18285 can: bit: "1" 18285-18335 can: bit: "0" 18335-18385 can: bit: "0" 18385-18435 can: bit: "0" 18435-18485 can: bit: "0" 18485-18535 can: bit: "0" 18535-18585 can: stuff-bit: "1" 18585-18635 can: bit: "1" 18635-18685 can: bit: "0" 18685-18735 can: bit: "1" 18735-18785 can: bit: "0" 18785-18835 can: bit: "1" 18835-18885 can: bit: "0" 18885-18935 can: bit: "0" 18935-18985 can: bit: "0" 18985-19035 can: bit: "1" 19035-19085 can: bit: "0" 19085-19135 can: bit: "1" 19135-19185 can: bit: "1" 19185-19235 can: bit: "0" 19235-19285 can: bit: "0" 19285-19335 can: bit: "0" 19335-19385 can: bit: "0" 19385-19435 can: bit: "1" 19435-19485 can: bit: "0" 19485-19535 can: bit: "1" 19535-19585 can: bit: "1" 19585-19635 can: bit: "1" 19635-19685 can: bit: "0" 19685-19735 can: bit: "0" 19735-19785 can: bit: "0" 19785-19835 can: bit: "1" 19835-19885 can: bit: "1" 19885-19935 can: bit: "0" 19935-19985 can: bit: "0" 19985-20035 can: bit: "0" 20035-20085 can: bit: "0" 20085-20135 can: bit: "0" 20135-20185 can: stuff-bit: "1" 20185-20235 can: bit: "0" 20235-20285 can: bit: "1" 20285-20335 can: bit: "1" 20335-20385 can: bit: "0" 20385-20435 can: bit: "0" 20435-20485 can: bit: "1" 20485-20535 can: bit: "0" 20535-20585 can: bit: "0" 20585-20635 can: bit: "0" 20635-20685 can: bit: "1" 20685-20735 can: bit: "1" 20735-20785 can: bit: "0" 20785-20835 can: bit: "1" 20835-20885 can: bit: "0" 20885-20935 can: bit: "0" 20935-20985 can: bit: "0" 20985-21035 can: bit: "0" 21035-21085 can: bit: "1" 21085-21135 can: bit: "1" 21135-21185 can: bit: "0" 21185-21235 can: bit: "1" 21235-21285 can: bit: "1" 21285-21335 can: bit: "0" 21335-21385 can: bit: "0" 21385-21435 can: bit: "0" 21435-21485 can: bit: "1" 21485-21535 can: bit: "1" 21535-21585 can: bit: "1" 21585-21635 can: bit: "0" 21635-21685 can: bit: "0" 21685-21735 can: bit: "0" 21735-21785 can: bit: "0" 21785-21835 can: bit: "0" 21835-21885 can: stuff-bit: "1" 21885-21935 can: bit: "1" 21935-21985 can: bit: "1" 21985-22035 can: bit: "1" 22035-22085 can: bit: "0" 22085-22135 can: bit: "1" 22135-22185 can: bit: "0" 22185-22235 can: bit: "0" 22235-22285 can: bit: "0" 22285-22335 can: bit: "1" 22335-22385 can: bit: "1" 22385-22435 can: bit: "1" 22435-22485 can: bit: "1" 22485-22535 can: bit: "0" 22535-22585 can: bit: "0" 22585-22635 can: bit: "0" 22635-22685 can: bit: "0" 22685-22735 can: bit: "1" 22735-22785 can: bit: "1" 22785-22835 can: bit: "1" 22835-22885 can: bit: "1" 22885-22935 can: bit: "1" 22935-22985 can: stuff-bit: "0" 22985-23035 can: bit: "0" 23035-23085 can: bit: "0" 23085-23135 can: bit: "1" 23135-23185 can: bit: "0" 23185-23235 can: bit: "0" 23235-23285 can: bit: "0" 23285-23335 can: bit: "0" 23335-23385 can: bit: "0" 23385-23435 can: stuff-bit: "1" 23436-23486 can: bit: "0" 23486-23536 can: bit: "0" 23536-23586 can: bit: "1" 23586-23636 can: bit: "0" 23636-23686 can: bit: "0" 23686-23736 can: bit: "0" 23736-23786 can: bit: "0" 23786-23836 can: bit: "1" 23836-23886 can: bit: "0" 23886-23936 can: bit: "0" 23936-23986 can: bit: "1" 23986-24036 can: bit: "0" 24036-24086 can: bit: "0" 24086-24136 can: bit: "0" 24136-24186 can: bit: "1" 24186-24236 can: bit: "0" 24236-24286 can: bit: "0" 24286-24336 can: bit: "0" 24336-24386 can: bit: "1" 24386-24436 can: bit: "0" 24436-24486 can: bit: "0" 24486-24536 can: bit: "0" 24536-24586 can: bit: "1" 24586-24636 can: bit: "1" 24636-24686 can: bit: "0" 24686-24736 can: bit: "0" 24736-24786 can: bit: "1" 24786-24836 can: bit: "0" 24836-24886 can: bit: "0" 24886-24936 can: bit: "1" 24936-24986 can: bit: "0" 24986-25036 can: bit: "0" 25036-25086 can: bit: "0" 25086-25136 can: bit: "0" 25136-25186 can: bit: "1" 25186-25236 can: bit: "0" 25236-25286 can: bit: "0" 25286-25336 can: bit: "1" 25336-25386 can: bit: "0" 25386-25436 can: bit: "1" 25436-25486 can: bit: "0" 25486-25536 can: bit: "0" 25536-25586 can: bit: "1" 25586-25636 can: bit: "0" 25636-25686 can: bit: "0" 25686-25736 can: bit: "1" 25736-25786 can: bit: "1" 25786-25836 can: bit: "0" 25836-25886 can: bit: "0" 25886-25936 can: bit: "0" 25936-25986 can: bit: "1" 25986-26036 can: bit: "0" 26036-26086 can: bit: "0" 26086-26136 can: bit: "1" 26136-26186 can: bit: "1" 26186-26236 can: bit: "1" 26236-26286 can: bit: "0" 26286-26336 can: bit: "0" 26336-26386 can: bit: "1" 26386-26436 can: bit: "0" 26436-26486 can: bit: "1" 26486-26536 can: bit: "0" 26536-26586 can: bit: "0" 26586-26636 can: bit: "0" 26636-26686 can: bit: "0" 26686-26736 can: bit: "0" 26736-26786 can: stuff-bit: "1" 26786-26836 can: bit: "1" 26836-26886 can: bit: "0" 26886-26936 can: bit: "1" 26936-26986 can: bit: "0" 26986-27036 can: bit: "0" 27036-27086 can: bit: "1" 27086-27136 can: bit: "0" 27136-27186 can: bit: "0" 27186-27236 can: bit: "1" 27236-27286 can: bit: "0" 27286-27336 can: bit: "1" 27336-27386 can: bit: "0" 27386-27436 can: bit: "1" 27436-27486 can: bit: "0" 27486-27536 can: bit: "0" 27536-27586 can: bit: "0" 27586-27636 can: bit: "1" 27636-27686 can: bit: "0" 27686-27736 can: bit: "1" 27736-27786 can: bit: "0" 27786-27836 can: bit: "1" 27836-27886 can: bit: "1" 27886-27936 can: bit: "0" 27936-27986 can: bit: "0" 27986-28036 can: bit: "1" 28036-28086 can: bit: "0" 28086-28136 can: bit: "1" 28136-28186 can: bit: "1" 28186-28236 can: bit: "0" 28236-28286 can: bit: "0" 28286-28336 can: bit: "0" 28336-28386 can: bit: "0" 28386-28436 can: bit: "1" 28436-28486 can: bit: "0" 28486-28536 can: bit: "1" 28536-28586 can: bit: "1" 28586-28636 can: bit: "0" 28636-28686 can: bit: "1" 28686-28736 can: bit: "0" 28736-28786 can: bit: "0" 28786-28836 can: bit: "1" 28836-28886 can: bit: "0" 28886-28936 can: bit: "1" 28936-28986 can: bit: "1" 28986-29036 can: bit: "1" 29036-29086 can: bit: "0" 29086-29136 can: bit: "0" 29136-29186 can: bit: "0" 29186-29236 can: bit: "1" 29236-29286 can: bit: "0" 29286-29336 can: bit: "1" 29336-29386 can: bit: "1" 29386-29436 can: bit: "1" 29436-29486 can: bit: "1" 29486-29536 can: bit: "0" 29536-29586 can: bit: "0" 29586-29636 can: bit: "1" 29636-29686 can: bit: "1" 29686-29736 can: bit: "0" 29736-29786 can: bit: "0" 29786-29836 can: bit: "0" 29836-29886 can: bit: "0" 29886-29936 can: bit: "0" 29936-29986 can: stuff-bit: "1" 29986-30036 can: bit: "0" 30036-30086 can: bit: "1" 30086-30136 can: bit: "1" 30136-30186 can: bit: "0" 30186-30236 can: bit: "0" 30236-30286 can: bit: "0" 30286-30336 can: bit: "1" 30336-30386 can: bit: "0" 30386-30436 can: bit: "0" 30436-30486 can: bit: "1" 30486-30536 can: bit: "1" 30536-30586 can: bit: "0" 30586-30636 can: bit: "0" 30636-30686 can: bit: "1" 30686-30736 can: bit: "0" 30736-30786 can: bit: "0" 30786-30836 can: bit: "0" 30836-30886 can: bit: "1" 30886-30936 can: bit: "1" 30937-30987 can: bit: "0" 30987-31037 can: bit: "0" 31037-31087 can: bit: "1" 31087-31137 can: bit: "1" 31137-31187 can: bit: "0" 31187-31237 can: bit: "0" 31237-31287 can: bit: "1" 31287-31337 can: bit: "1" 31337-31387 can: bit: "0" 31387-31437 can: bit: "1" 31437-31487 can: bit: "0" 31487-31537 can: bit: "0" 31537-31587 can: bit: "0" 31587-31637 can: bit: "0" 31637-31687 can: bit: "1" 31687-31737 can: bit: "1" 31737-31787 can: bit: "0" 31787-31837 can: bit: "1" 31837-31887 can: bit: "0" 31887-31937 can: bit: "1" 31937-31987 can: bit: "0" 31987-32037 can: bit: "0" 32037-32087 can: bit: "1" 32087-32137 can: bit: "1" 32137-32187 can: bit: "0" 32187-32237 can: bit: "1" 32237-32287 can: bit: "1" 32287-32337 can: bit: "0" 32337-32387 can: bit: "0" 32387-32437 can: bit: "0" 32437-32487 can: bit: "1" 32487-32537 can: bit: "1" 32537-32587 can: bit: "0" 32587-32637 can: bit: "1" 32637-32687 can: bit: "1" 32687-32737 can: bit: "1" 32737-32787 can: bit: "0" 32787-32837 can: bit: "0" 32837-32887 can: bit: "1" 32887-32937 can: bit: "1" 32937-32987 can: bit: "1" 32987-33037 can: bit: "0" 33037-33087 can: bit: "0" 33087-33137 can: bit: "0" 33137-33187 can: bit: "0" 33187-33237 can: bit: "0" 33237-33287 can: stuff-bit: "1" 33287-33337 can: bit: "1" 33337-33387 can: bit: "1" 33387-33437 can: bit: "1" 33437-33487 can: bit: "0" 33487-33537 can: bit: "0" 33537-33587 can: bit: "1" 33587-33637 can: bit: "0" 33637-33687 can: bit: "0" 33687-33737 can: bit: "1" 33737-33787 can: bit: "1" 33787-33837 can: bit: "1" 33837-33887 can: bit: "0" 33887-33937 can: bit: "1" 33937-33987 can: bit: "0" 33987-34037 can: bit: "0" 34037-34087 can: bit: "0" 34087-34137 can: bit: "1" 34137-34187 can: bit: "1" 34187-34237 can: bit: "1" 34237-34287 can: bit: "0" 34287-34337 can: bit: "1" 34337-34387 can: bit: "1" 34387-34437 can: bit: "0" 34437-34487 can: bit: "0" 34487-34537 can: bit: "1" 34537-34587 can: bit: "1" 34587-34637 can: bit: "1" 34637-34687 can: bit: "1" 34687-34737 can: bit: "0" 34737-34787 can: bit: "0" 34787-34837 can: bit: "0" 34837-34887 can: bit: "0" 34887-34937 can: bit: "1" 34937-34987 can: bit: "1" 34987-35037 can: bit: "1" 35037-35087 can: bit: "1" 35087-35137 can: bit: "0" 35137-35187 can: bit: "1" 35187-35237 can: bit: "0" 35237-35287 can: bit: "0" 35287-35337 can: bit: "1" 35337-35387 can: bit: "1" 35387-35437 can: bit: "1" 35437-35487 can: bit: "1" 35487-35537 can: bit: "1" 35537-35587 can: stuff-bit: "0" 35587-35637 can: bit: "0" 35637-35687 can: bit: "0" 35687-35737 can: bit: "0" 35737-35787 can: bit: "1" 35787-35837 can: bit: "1" 35837-35887 can: bit: "1" 35887-35937 can: bit: "1" 35937-35987 can: bit: "1" 35987-36037 can: stuff-bit: "0" 36037-36087 can: bit: "1" 9234-9684 can: data: "Data byte 0: 0x00" "DB 0: 0x00" "DB" 9684-10184 can: data: "Data byte 1: 0x01" "DB 1: 0x01" "DB" 10184-10634 can: data: "Data byte 2: 0x02" "DB 2: 0x02" "DB" 10634-11084 can: data: "Data byte 3: 0x03" "DB 3: 0x03" "DB" 11084-11534 can: data: "Data byte 4: 0x04" "DB 4: 0x04" "DB" 11534-11984 can: data: "Data byte 5: 0x05" "DB 5: 0x05" "DB" 11984-12434 can: data: "Data byte 6: 0x06" "DB 6: 0x06" "DB" 12434-12884 can: data: "Data byte 7: 0x07" "DB 7: 0x07" "DB" 12884-13284 can: data: "Data byte 8: 0x08" "DB 8: 0x08" "DB" 13284-13734 can: data: "Data byte 9: 0x09" "DB 9: 0x09" "DB" 13734-14134 can: data: "Data byte 10: 0x0a" "DB 10: 0x0a" "DB" 14134-14584 can: data: "Data byte 11: 0x0b" "DB 11: 0x0b" "DB" 14584-14984 can: data: "Data byte 12: 0x0c" "DB 12: 0x0c" "DB" 14984-15434 can: data: "Data byte 13: 0x0d" "DB 13: 0x0d" "DB" 15434-15835 can: data: "Data byte 14: 0x0e" "DB 14: 0x0e" "DB" 15835-16285 can: data: "Data byte 15: 0x0f" "DB 15: 0x0f" "DB" 16335-16735 can: data: "Data byte 16: 0x10" "DB 16: 0x10" "DB" 16735-17185 can: data: "Data byte 17: 0x11" "DB 17: 0x11" "DB" 17185-17585 can: data: "Data byte 18: 0x12" "DB 18: 0x12" "DB" 17585-17985 can: data: "Data byte 19: 0x13" "DB 19: 0x13" "DB" 17985-18385 can: data: "Data byte 20: 0x14" "DB 20: 0x14" "DB" 18385-18835 can: data: "Data byte 21: 0x15" "DB 21: 0x15" "DB" 18835-19235 can: data: "Data byte 22: 0x16" "DB 22: 0x16" "DB" 19235-19635 can: data: "Data byte 23: 0x17" "DB 23: 0x17" "DB" 19635-20035 can: data: "Data byte 24: 0x18" "DB 24: 0x18" "DB" 20035-20485 can: data: "Data byte 25: 0x19" "DB 25: 0x19" "DB" 20485-20885 can: data: "Data byte 26: 0x1a" "DB 26: 0x1a" "DB" 20885-21285 can: data: "Data byte 27: 0x1b" "DB 27: 0x1b" "DB" 21285-21685 can: data: "Data byte 28: 0x1c" "DB 28: 0x1c" "DB" 21685-22135 can: data: "Data byte 29: 0x1d" "DB 29: 0x1d" "DB" 22135-22535 can: data: "Data byte 30: 0x1e" "DB 30: 0x1e" "DB" 22535-22935 can: data: "Data byte 31: 0x1f" "DB 31: 0x1f" "DB" 22985-23385 can: data: "Data byte 32: 0x20" "DB 32: 0x20" "DB" 23436-23836 can: data: "Data byte 33: 0x21" "DB 33: 0x21" "DB" 23836-24236 can: data: "Data byte 34: 0x22" "DB 34: 0x22" "DB" 24236-24636 can: data: "Data byte 35: 0x23" "DB 35: 0x23" "DB" 24636-25036 can: data: "Data byte 36: 0x24" "DB 36: 0x24" "DB" 25036-25436 can: data: "Data byte 37: 0x25" "DB 37: 0x25" "DB" 25436-25836 can: data: "Data byte 38: 0x26" "DB 38: 0x26" "DB" 25836-26236 can: data: "Data byte 39: 0x27" "DB 39: 0x27" "DB" 26236-26636 can: data: "Data byte 40: 0x28" "DB 40: 0x28" "DB" 26636-27086 can: data: "Data byte 41: 0x29" "DB 41: 0x29" "DB" 27086-27486 can: data: "Data byte 42: 0x2a" "DB 42: 0x2a" "DB" 27486-27886 can: data: "Data byte 43: 0x2b" "DB 43: 0x2b" "DB" 27886-28286 can: data: "Data byte 44: 0x2c" "DB 44: 0x2c" "DB" 28286-28686 can: data: "Data byte 45: 0x2d" "DB 45: 0x2d" "DB" 28686-29086 can: data: "Data byte 46: 0x2e" "DB 46: 0x2e" "DB" 29086-29486 can: data: "Data byte 47: 0x2f" "DB 47: 0x2f" "DB" 29486-29886 can: data: "Data byte 48: 0x30" "DB 48: 0x30" "DB" 29886-30336 can: data: "Data byte 49: 0x31" "DB 49: 0x31" "DB" 30336-30736 can: data: "Data byte 50: 0x32" "DB 50: 0x32" "DB" 30736-31137 can: data: "Data byte 51: 0x33" "DB 51: 0x33" "DB" 31137-31537 can: data: "Data byte 52: 0x34" "DB 52: 0x34" "DB" 31537-31937 can: data: "Data byte 53: 0x35" "DB 53: 0x35" "DB" 31937-32337 can: data: "Data byte 54: 0x36" "DB 54: 0x36" "DB" 32337-32737 can: data: "Data byte 55: 0x37" "DB 55: 0x37" "DB" 32737-33137 can: data: "Data byte 56: 0x38" "DB 56: 0x38" "DB" 33137-33587 can: data: "Data byte 57: 0x39" "DB 57: 0x39" "DB" 33587-33987 can: data: "Data byte 58: 0x3a" "DB 58: 0x3a" "DB" 33987-34387 can: data: "Data byte 59: 0x3b" "DB 59: 0x3b" "DB" 34387-34787 can: data: "Data byte 60: 0x3c" "DB 60: 0x3c" "DB" 34787-35187 can: data: "Data byte 61: 0x3d" "DB 61: 0x3d" "DB" 35187-35637 can: data: "Data byte 62: 0x3e" "DB 62: 0x3e" "DB" 35637-36087 can: data: "Data byte 63: 0x3f" "DB 63: 0x3f" "DB" 36087-36137 can: bit: "0" 36137-36187 can: bit: "1" 36187-36237 can: bit: "1" 36237-36287 can: bit: "1" 36287-36337 can: bit: "1" 36337-36387 can: bit: "0" 36387-36437 can: bit: "1" 36437-36487 can: bit: "0" 36487-36537 can: bit: "1" 36537-36587 can: bit: "0" 36587-36637 can: bit: "1" 36637-36687 can: bit: "1" 36687-36737 can: bit: "0" 36737-36787 can: bit: "0" 36787-36837 can: bit: "1" 36837-36887 can: bit: "0" 36887-36937 can: bit: "1" 36937-36987 can: bit: "0" 36987-37037 can: bit: "1" 37037-37087 can: bit: "1" 37087-37137 can: bit: "0" 37137-37187 can: bit: "1" 37187-37237 can: bit: "0" 37237-37287 can: bit: "1" 37287-37337 can: bit: "0" 37337-37387 can: bit: "1" 37387-37437 can: bit: "0" 37437-37487 can: bit: "0" 37487-37537 can: bit: "1" 37537-37587 can: bit: "1" 37587-37637 can: bit: "0" 37637-37687 can: bit: "1" 36087-37687 can: crc-sequence: "CRC-21 sequence: 0x7ab2b54d" "CRC-21: 0x7ab2b54d" "CRC-21" 37687-37737 can: bit: "1" 37687-37737 can: crc-delimiter: "CRC delimiter: 1" "CRC d: 1" "CRC d" 37769-37869 can: bit: "0" 37769-37869 can: ack-slot: "ACK slot: ACK" "ACK s: ACK" "ACK s" 37869-37969 can: bit: "1" 37869-37969 can: ack-delimiter: "ACK delimiter: 1" "ACK d: 1" "ACK d" 37969-38069 can: bit: "1" 38069-38169 can: bit: "1" 38169-38269 can: bit: "1" 38269-38369 can: bit: "1" 38369-38469 can: bit: "1" 38469-38569 can: bit: "1" 38569-38669 can: bit: "1" 37969-38669 can: eof: "End of frame" "EOF" "E"