]> sigrok.org Git - sigrok-test.git/blob - decoder/test/mdio/lan8720a_read_write_read.output
mdio: Update output files for new MDIO decoder output.
[sigrok-test.git] / decoder / test / mdio / lan8720a_read_write_read.output
1 50-57 mdio: bit-val: "1"
2 57-64 mdio: bit-val: "1"
3 64-71 mdio: bit-val: "1"
4 71-78 mdio: bit-val: "1"
5 78-85 mdio: bit-val: "1"
6 85-92 mdio: bit-val: "1"
7 92-99 mdio: bit-val: "1"
8 99-106 mdio: bit-val: "1"
9 106-113 mdio: bit-val: "1"
10 113-120 mdio: bit-val: "1"
11 120-127 mdio: bit-val: "1"
12 127-134 mdio: bit-val: "1"
13 134-141 mdio: bit-val: "1"
14 141-148 mdio: bit-val: "1"
15 148-155 mdio: bit-val: "1"
16 155-162 mdio: bit-val: "1"
17 162-169 mdio: bit-val: "1"
18 169-176 mdio: bit-val: "1"
19 176-183 mdio: bit-val: "1"
20 183-190 mdio: bit-val: "1"
21 190-197 mdio: bit-val: "1"
22 197-204 mdio: bit-val: "1"
23 204-211 mdio: bit-val: "1"
24 211-218 mdio: bit-val: "1"
25 218-225 mdio: bit-val: "1"
26 225-232 mdio: bit-val: "1"
27 232-239 mdio: bit-val: "1"
28 239-246 mdio: bit-val: "1"
29 246-253 mdio: bit-val: "1"
30 253-260 mdio: bit-val: "1"
31 260-267 mdio: bit-val: "1"
32 267-274 mdio: bit-val: "1"
33 50-274 mdio: frame: "PRE #32" "PRE" "P"
34 274-281 mdio: bit-val: "0"
35 281-288 mdio: bit-val: "1"
36 274-288 mdio: frame: "ST (Clause 22)" "ST 22" "ST" "S"
37 288-295 mdio: bit-val: "1"
38 295-302 mdio: bit-val: "0"
39 288-302 mdio: frame: "OP: READ" "OP: R" "OP" "O"
40 302-309 mdio: bit-val: "0"
41 309-316 mdio: bit-val: "0"
42 316-323 mdio: bit-val: "0"
43 323-330 mdio: bit-val: "0"
44 330-337 mdio: bit-val: "1"
45 302-337 mdio: frame: "PHYAD: 01" "PHY" "P"
46 337-344 mdio: bit-val: "0"
47 344-351 mdio: bit-val: "0"
48 351-358 mdio: bit-val: "0"
49 358-365 mdio: bit-val: "0"
50 365-372 mdio: bit-val: "0"
51 337-372 mdio: frame: "REGAD: 00" "REG" "R"
52 372-379 mdio: bit-val: "1"
53 379-386 mdio: bit-val: "0"
54 372-386 mdio: frame: "TURNAROUND" "TA" "T"
55 386-393 mdio: bit-val: "0"
56 393-400 mdio: bit-val: "0"
57 400-407 mdio: bit-val: "1"
58 407-414 mdio: bit-val: "1"
59 414-421 mdio: bit-val: "0"
60 421-428 mdio: bit-val: "0"
61 428-435 mdio: bit-val: "0"
62 435-442 mdio: bit-val: "0"
63 442-449 mdio: bit-val: "0"
64 449-456 mdio: bit-val: "0"
65 456-463 mdio: bit-val: "0"
66 463-470 mdio: bit-val: "0"
67 470-477 mdio: bit-val: "0"
68 477-484 mdio: bit-val: "0"
69 484-491 mdio: bit-val: "0"
70 491-498 mdio: bit-val: "0"
71 386-498 mdio: frame: "DATA: 3000" "DATA" "D"
72 50-498 mdio: decode: "READ:  3000 PHYAD: 01 REGAD: 00" "READ:  3000"
73 698-705 mdio: bit-val: "1"
74 705-712 mdio: bit-val: "1"
75 712-719 mdio: bit-val: "1"
76 719-726 mdio: bit-val: "1"
77 726-733 mdio: bit-val: "1"
78 733-740 mdio: bit-val: "1"
79 740-747 mdio: bit-val: "1"
80 747-754 mdio: bit-val: "1"
81 754-761 mdio: bit-val: "1"
82 761-768 mdio: bit-val: "1"
83 768-775 mdio: bit-val: "1"
84 775-782 mdio: bit-val: "1"
85 782-789 mdio: bit-val: "1"
86 789-796 mdio: bit-val: "1"
87 796-803 mdio: bit-val: "1"
88 803-810 mdio: bit-val: "1"
89 810-817 mdio: bit-val: "1"
90 817-824 mdio: bit-val: "1"
91 824-831 mdio: bit-val: "1"
92 831-838 mdio: bit-val: "1"
93 838-845 mdio: bit-val: "1"
94 845-852 mdio: bit-val: "1"
95 852-859 mdio: bit-val: "1"
96 859-866 mdio: bit-val: "1"
97 866-873 mdio: bit-val: "1"
98 873-880 mdio: bit-val: "1"
99 880-887 mdio: bit-val: "1"
100 887-894 mdio: bit-val: "1"
101 894-901 mdio: bit-val: "1"
102 901-908 mdio: bit-val: "1"
103 908-915 mdio: bit-val: "1"
104 915-922 mdio: bit-val: "1"
105 698-922 mdio: frame: "PRE #32" "PRE" "P"
106 922-929 mdio: bit-val: "0"
107 929-936 mdio: bit-val: "1"
108 922-936 mdio: frame: "ST (Clause 22)" "ST 22" "ST" "S"
109 936-943 mdio: bit-val: "0"
110 943-950 mdio: bit-val: "1"
111 936-950 mdio: frame: "OP: WRITE" "OP: W" "OP" "O"
112 950-957 mdio: bit-val: "0"
113 957-964 mdio: bit-val: "0"
114 964-971 mdio: bit-val: "0"
115 971-978 mdio: bit-val: "0"
116 978-985 mdio: bit-val: "1"
117 950-985 mdio: frame: "PHYAD: 01" "PHY" "P"
118 985-992 mdio: bit-val: "0"
119 992-999 mdio: bit-val: "0"
120 999-1006 mdio: bit-val: "0"
121 1006-1013 mdio: bit-val: "0"
122 1013-1020 mdio: bit-val: "0"
123 985-1020 mdio: frame: "REGAD: 00" "REG" "R"
124 1020-1027 mdio: bit-val: "1"
125 1027-1034 mdio: bit-val: "0"
126 1020-1034 mdio: frame: "TURNAROUND" "TA" "T"
127 1034-1041 mdio: bit-val: "1"
128 1041-1048 mdio: bit-val: "0"
129 1048-1055 mdio: bit-val: "0"
130 1055-1062 mdio: bit-val: "0"
131 1062-1069 mdio: bit-val: "0"
132 1069-1076 mdio: bit-val: "0"
133 1076-1083 mdio: bit-val: "0"
134 1083-1090 mdio: bit-val: "0"
135 1090-1097 mdio: bit-val: "0"
136 1097-1104 mdio: bit-val: "0"
137 1104-1111 mdio: bit-val: "0"
138 1111-1118 mdio: bit-val: "0"
139 1118-1125 mdio: bit-val: "0"
140 1125-1132 mdio: bit-val: "0"
141 1132-1139 mdio: bit-val: "0"
142 1139-1146 mdio: bit-val: "0"
143 1034-1146 mdio: frame: "DATA: 8000" "DATA" "D"
144 698-1146 mdio: decode: "WRITE: 8000 PHYAD: 01 REGAD: 00" "WRITE: 8000"
145 1153-1160 mdio: bit-val: "1"
146 1160-1167 mdio: bit-val: "1"
147 1167-1174 mdio: bit-val: "1"
148 1174-1181 mdio: bit-val: "1"
149 1181-1188 mdio: bit-val: "1"
150 1188-1195 mdio: bit-val: "1"
151 1195-1202 mdio: bit-val: "1"
152 1202-1209 mdio: bit-val: "1"
153 1209-1216 mdio: bit-val: "1"
154 1216-1223 mdio: bit-val: "1"
155 1223-1230 mdio: bit-val: "1"
156 1230-1237 mdio: bit-val: "1"
157 1237-1244 mdio: bit-val: "1"
158 1244-1251 mdio: bit-val: "1"
159 1251-1258 mdio: bit-val: "1"
160 1258-1265 mdio: bit-val: "1"
161 1265-1272 mdio: bit-val: "1"
162 1272-1279 mdio: bit-val: "1"
163 1279-1286 mdio: bit-val: "1"
164 1286-1293 mdio: bit-val: "1"
165 1293-1300 mdio: bit-val: "1"
166 1300-1307 mdio: bit-val: "1"
167 1307-1314 mdio: bit-val: "1"
168 1314-1321 mdio: bit-val: "1"
169 1321-1328 mdio: bit-val: "1"
170 1328-1335 mdio: bit-val: "1"
171 1335-1342 mdio: bit-val: "1"
172 1342-1349 mdio: bit-val: "1"
173 1349-1356 mdio: bit-val: "1"
174 1356-1363 mdio: bit-val: "1"
175 1363-1370 mdio: bit-val: "1"
176 1370-1377 mdio: bit-val: "1"
177 1153-1377 mdio: frame: "PRE #32" "PRE" "P"
178 1377-1384 mdio: bit-val: "0"
179 1384-1391 mdio: bit-val: "1"
180 1377-1391 mdio: frame: "ST (Clause 22)" "ST 22" "ST" "S"
181 1391-1398 mdio: bit-val: "1"
182 1398-1405 mdio: bit-val: "0"
183 1391-1405 mdio: frame: "OP: READ" "OP: R" "OP" "O"
184 1405-1412 mdio: bit-val: "0"
185 1412-1419 mdio: bit-val: "0"
186 1419-1426 mdio: bit-val: "0"
187 1426-1433 mdio: bit-val: "0"
188 1433-1440 mdio: bit-val: "1"
189 1405-1440 mdio: frame: "PHYAD: 01" "PHY" "P"
190 1440-1447 mdio: bit-val: "0"
191 1447-1454 mdio: bit-val: "0"
192 1454-1461 mdio: bit-val: "0"
193 1461-1468 mdio: bit-val: "0"
194 1468-1475 mdio: bit-val: "0"
195 1440-1475 mdio: frame: "REGAD: 00" "REG" "R"
196 1475-1482 mdio: bit-val: "1"
197 1482-1489 mdio: bit-val: "0"
198 1475-1489 mdio: frame: "TURNAROUND" "TA" "T"
199 1489-1496 mdio: bit-val: "1"
200 1496-1503 mdio: bit-val: "0"
201 1503-1510 mdio: bit-val: "0"
202 1510-1517 mdio: bit-val: "0"
203 1517-1524 mdio: bit-val: "0"
204 1524-1531 mdio: bit-val: "0"
205 1531-1538 mdio: bit-val: "0"
206 1538-1545 mdio: bit-val: "0"
207 1545-1552 mdio: bit-val: "0"
208 1552-1559 mdio: bit-val: "0"
209 1559-1566 mdio: bit-val: "0"
210 1566-1573 mdio: bit-val: "0"
211 1573-1580 mdio: bit-val: "0"
212 1580-1587 mdio: bit-val: "0"
213 1587-1594 mdio: bit-val: "0"
214 1594-1601 mdio: bit-val: "0"
215 1489-1601 mdio: frame: "DATA: 8000" "DATA" "D"
216 1153-1601 mdio: decode: "READ:  8000 PHYAD: 01 REGAD: 00" "READ:  8000"