]> sigrok.org Git - pulseview.git/history - pv/data/logicsnapshot.cpp
Replaced boost::thread/mutex etc. with std equivalents
[pulseview.git] / pv / data / logicsnapshot.cpp
2014-05-24 Joel HoldsworthReplaced boost::thread/mutex etc. with std equivalents
2014-05-23 Joel HoldsworthReplaced BOOST_FOREACH with C++11 range-based for loops
2014-02-20 Daniel Elstnerdecode: Fix mixup of bytes vs samples
2014-02-19 Marcus ComstedtCheck if unportable sample pack/unpack works and in...
2014-02-19 Marcus ComstedtImplement portable LA sample packing and unpacking.
2014-02-14 Daniel KingPreallocate memory before samples are received.
2014-01-25 Joel HoldsworthAdd an extra sample to the logic edges so that the...
2014-01-11 Joel HoldsworthAdded support for save
2013-12-30 Joel HoldsworthReplaced using namespace with using class directives
2013-09-29 Joel HoldsworthAdded LogicSnapshot::get_samples
2013-04-27 Bert VermeulenHardcode maximum supported probes to 64 for now
2013-03-21 Joel HoldsworthVarious tidyups in LogicSnapshot
2013-03-03 Joel HoldsworthPad mip-map buffers to allow for uint64_t write word
2013-01-15 Joel HoldsworthDo not fast_forward if there is no data at the minimum...
2013-01-13 Joel HoldsworthFixed usage of sr_datafeed_logic::length
2013-01-08 Alexandru Gagniucglobal: Do not assert >= 0 for unsigned values
2012-12-24 Joel HoldsworthMoved data and snapshot classes into pv::data namespace