From: Soeren Apel Date: Tue, 6 Mar 2018 23:34:07 +0000 (+0100) Subject: Adjust signal to changes made in 7f894d958 X-Git-Url: https://sigrok.org/gitweb/?p=pulseview.git;a=commitdiff_plain;h=b10b58f40fd9c71f1a8b020077ecda65cebc578c Adjust signal to changes made in 7f894d958 This one slipped through and needs adjustment. --- diff --git a/pv/data/decodesignal.cpp b/pv/data/decodesignal.cpp index 1ff9d76d..5fbc8b6c 100644 --- a/pv/data/decodesignal.cpp +++ b/pv/data/decodesignal.cpp @@ -1040,7 +1040,7 @@ void DecodeSignal::connect_input_notifiers() const data::SignalBase *signal = ch.assigned_signal; connect(signal, SIGNAL(samples_cleared()), this, SLOT(on_data_cleared())); - connect(signal, SIGNAL(samples_added(QObject*, uint64_t, uint64_t)), + connect(signal, SIGNAL(samples_added(uint64_t, uint64_t, uint64_t)), this, SLOT(on_data_received())); } }